Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Filters








60 Hits in 5.5 sec

Testing the Trustworthiness of IC Testing: An Oracle-Less Attack on IC Camouflaging

Muhammad Yasin, Ozgur Sinanoglu, Jeyavijayan Rajendran
2017 IEEE Transactions on Information Forensics and Security  
Test of integrated circuits (ICs) is essential to ensure their quality; the test is meant to prevent defective and out-of-spec ICs from entering into the supply chain.  ...  In this paper, we present HackTest, an attack that extracts secret information generated in the test data, even if the test data does not explicitly contain the secret.  ...  While previous attacks on IC camouflaging [20] , [18] have used the functional IC as oracle assuming physical access to the test structures on the IC, HackTest uses only the test data, which already  ... 
doi:10.1109/tifs.2017.2710954 fatcat:nos73abeyrccdd2lb7lokct5tm

Protect Your Chip Design Intellectual Property

Johann Knechtel, Satwik Patnaik, Ozgur Sinanoglu
2019 Proceedings of the International Conference on Omni-Layer Intelligent Systems - COINS '19  
The corresponding outsourcing trend gave rise to various attack vectors, e.g., illegal overproduction of ICs, piracy of the design intellectual property (IP), or insertion of hardware Trojans (HTs).  ...  These attacks are possibly conducted by untrusted entities residing all over the supply chain, ranging from untrusted foundries, test facilities, even to end-users.  ...  In [52] , Yasin et al. demonstrated how an untrusted test facility can circumvent the security promise of LC, even without access to an oracle.  ... 
doi:10.1145/3312614.3312657 dblp:conf/coins/KnechtelPS19 fatcat:ignmxptk7nbkjgrecpim6332yq

Hardware Security for and beyond CMOS Technology: An Overview on Fundamentals, Applications, and Challenges [article]

Johann Knechtel
2020 arXiv   pre-print
In this paper, I first provide an overview on hardware security in general.  ...  to advance the notion of hardware security.  ...  Camouflaging serves to mitigate RE attacks conducted by malicious end-users. Thus, camouflaging means to alter the layout-level appearance of an IC in order to protect the design IP.  ... 
arXiv:2001.08780v2 fatcat:gq5pex62ircgdpeo7p37x3dn7u

Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain

Ujjwal Guin, Ke Huang, Daniel DiMase, John M. Carulli, Mohammad Tehranipoor, Yiorgos Makris
2014 Proceedings of the IEEE  
This paper surveys the state of the art in counterfeiting and detection technologies.  ...  Anwar of the University of Connecticut (Storrs, CT, USA) for his comments on physical inspections.  ...  Acknowledgment The authors would like to thank Steve Walters of Honeywell (Clearwater, FL, USA) and Sultan Lilani of Integra Technologies (Wichita, KS, USA) for providing their valuable feedback on physical  ... 
doi:10.1109/jproc.2014.2332291 fatcat:skydxiwgcrbzxa3p7mpqqvaf5y

Best of both worlds

Satwik Patnaik, Mohammed Ashraf, Ozgur Sinanoglu, Johann Knechtel
2018 Proceedings of the International Conference on Computer-Aided Design - ICCAD '18  
With the globalization of manufacturing and supply chains, ensuring the security and trustworthiness of ICs has become an urgent challenge.  ...  Strengthened by an extensive security analysis (also based on a novel attack to recover obfuscated F2F interconnects), we argue that entering the next, third dimension is eminent for effective and efficient  ...  ACKNOWLEDGMENTS This work was supported in part by the Center for Cyber Security (CCS) at NYU New York/Abu Dhabi (NYU/NYUAD). We also thank Dr.  ... 
doi:10.1145/3240765.3240784 dblp:conf/iccad/PatnaikASK18 fatcat:pjtt4ja6rnbrnnvfbg2fhm2cdu

Does logic locking work with EDA tools?

Zhaokun Han, Muhammad Yasin, Jeyavijayan (JV) Rajendran
2021 USENIX Security Symposium  
This paper exploits the properties of integrated circuit (IC) design tools, also termed electronic design automation (EDA) tools, to undermine the security of the CAC techniques.  ...  The latest and hitherto unbroken logic-locking techniques are based on the "corrupt-and-correct (CAC)" principle, offering provable security against input-output query attacks.  ...  Any opinions, findings, conclusions, or recommendations expressed herein are those of the authors, and do not necessarily reflect those of the US Government.  ... 
dblp:conf/uss/HanYR21 fatcat:wbz6bxu2uzdthlrtuwwnjkz2gu

A Modern Approach to IP Protection and Trojan Prevention: Split Manufacturing for 3D ICs and Obfuscation of Vertical Interconnects

Satwik Patnaik, Mohammed Ashraf, Ozgur Sinanoglu, Johann Knechtel
2019 IEEE Transactions on Emerging Topics in Computing  
While both techniques enable protecting the intellectual property (IP) of ICs, SM can further mitigate the insertion of hardware Trojans (HTs).  ...  By jointly extending SM and LC techniques toward 3D integration, an up-and-coming paradigm based on stacking and interconnecting of multiple chips, we establish a modern approach to hardware security.  ...  ACKNOWLEDGMENTS This work was supported in part by NYUAD under REF Grant RE218 and by the NYU/NYUAD joint Center for Cybersecurity (CCS). We also thank Dr.  ... 
doi:10.1109/tetc.2019.2933572 fatcat:jocttxbxgnbxhg3xklzpw56fbq

Graph Neural Networks

Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu
2023 Proceedings of the 28th Asia and South Pacific Design Automation Conference  
Graph neural networks (GNNs) have pushed the state-of-the-art (SOTA) for performance in learning and predicting on large-scale data present in social networks, biology, etc.  ...  Our comprehensive overview of GNNs frameworks covers (i) electronic design automation (EDA) and IC design in general, (ii) design of reliable ICs, and (iii) design as well as analysis of secure ICs.  ...  The related GNN attacks work predominantly in an oracle-less setting, which is more powerful than seminal attacks like the Boolean Satisfiability (SAT)-based attack [74] .  ... 
doi:10.1145/3566097.3568345 fatcat:gqvciq4o5verxkaphgicofjlx4

Graph Neural Networks: A Powerful and Versatile Tool for Advancing Design, Reliability, and Security of ICs [article]

Lilas Alrahis, Johann Knechtel, Ozgur Sinanoglu
2022 arXiv   pre-print
Graph neural networks (GNNs) have pushed the state-of-the-art (SOTA) for performance in learning and predicting on large-scale data present in social networks, biology, etc.  ...  Our comprehensive overview of GNNs frameworks covers (i) electronic design automation (EDA) and IC design in general, (ii) design of reliable ICs, and (iii) design as well as analysis of secure ICs.  ...  The related GNN attacks work predominantly in an oracle-less setting, which is more powerful than seminal attacks like the Boolean Satisfiability (SAT)-based attack [74] .  ... 
arXiv:2211.16495v1 fatcat:unypchxwana5lctbl2pi56773y

A Survey on Split Manufacturing: Attacks, Defenses, and Challenges [article]

Tiago D. Perez, Samuel Pagliarini
2020 arXiv   pre-print
For the attacks, we present a concise discussion on the different threat models and assumptions, while for the defenses we classify the studies into three categories: proximity perturbation, wire lifting  ...  In Split Manufacturing, the Front End of Line (FEOL) layers (transistors and lower metal layers) are fabricated at an untrusted high-end foundry, while the Back End of Line (BEOL) layers (higher metal  ...  For instance, ICs designed for space or military use will not be freely available, thus an oracle may not be known to the attacker.  ... 
arXiv:2006.04627v2 fatcat:ie6b22vw25d35brv24ue7vlodq

A Survey on Split Manufacturing: Attacks, Defenses, and Challenges

Tiago D. Perez, Samuel Pagliarini
2020 IEEE Access  
For the attacks, we present a concise discussion on the different threat models and assumptions, while for the defenses we classify the studies into three categories: proximity perturbation, wire lifting  ...  In Split Manufacturing, the Front End of Line (FEOL) layers (transistors and lower metal layers) are fabricated at an untrusted high-end foundry, while the Back End of Line (BEOL) layers (higher metal  ...  For instance, ICs designed for space or military use will not be freely available, thus an oracle may not be known to the attacker.  ... 
doi:10.1109/access.2020.3029339 fatcat:g6kpdburfvesbazpjag25vnbim

Two Sides of the Same Coin: Boons and Banes of Machine Learning in Hardware Security

Wenye Liu, Chip-Hong Chang, Xueyang Wang, Chen Liu, Jason Fung, Mohammad Ebrahimabadi, Naghmeh Karimi, Xingyu Meng, Kanad Basu
2021 IEEE Journal on Emerging and Selected Topics in Circuits and Systems  
As computations are brought nearer to the source of data creation, the attack surface of DNN has also been extended from the input data to the edge devices.  ...  On the other hand, ML-based approaches have also been adopted by adversaries to assist side-channel attacks, reverse engineer integrated circuits and break hardware security primitives like Physically  ...  However, SAT solver can also be utilized as an oracle-guided attack to break logic locking by finding discriminative input patterns to quickly prune the search space of the secret key.  ... 
doi:10.1109/jetcas.2021.3084400 fatcat:c4wdkghpo5fwbhvkekaysnahzm

Security in Approximate Computing and Approximate Computing for Security: Challenges and Opportunities

Weiqiang Liu, Chongyan Gu, Maire O'Neill, Gang Qu, Paolo Montuschi, Fabrizio Lombardi
2020 Proceedings of the IEEE  
However, it also raises serious concern about IC trustworthiness triggered by the use of thirdparty vendors.  ...  : (a) illustration of the iterative SAT attack process [95] , (b) an approximate deobfuscation algorithm based on SAT attacks and random testing [97] . accuracy is required for the countermeasure based  ... 
doi:10.1109/jproc.2020.3030121 fatcat:vgxrxqkoibhgflrwq6rrfz7ofm

Smart Grid Metering Networks: A Survey on Security, Privacy and Open Research Issues

Pardeep Kumar, Yun Lin, Guangdong Bai, Andrew Paverd, Jin Song Dong, Andrew Martin
2019 IEEE Communications Surveys and Tutorials  
Based on the presented threats, we derive a set of security and privacy requirements for SG metering networks.  ...  This survey paper shows a brief overview of real cyber attack incidents in traditional energy networks and those targeting the smart metering network.  ...  Therefore, there is a less confidence in assessing the risk of the attacks and efficacy of the countermeasures by their work.  ... 
doi:10.1109/comst.2019.2899354 fatcat:67bz5glcfbbldpqagxmhvy2ssm

A Comprehensive and Systematic Survey on the Internet of Things: Security and Privacy Challenges, Security Frameworks, Enabling Technologies, Threats, Vulnerabilities and Countermeasures

Muath A. Obaidat, Suhaib Obeidat, Jennifer Holst, Abdullah Al Hayajneh, Joseph Brown
2020 Computers  
In addition, the paper presents a comprehensive taxonomy of attacks on IoT based on the three-layer architecture model; perception, network, and application layers, as well as a suggestion of the impact  ...  of these attacks on CIA objectives in representative devices, are presented.  ...  Conflicts of Interest: The authors declare no conflict of interest.  ... 
doi:10.3390/computers9020044 fatcat:v2iru7ncd5gppjd2qwse4v4axi
« Previous Showing results 1 — 15 out of 60 results