Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Filters








3,398 Hits in 2.4 sec

Traffic analysis for on-chip networks design of multimedia applications

G. Varatkar, R. Marculescu
2002 Proceedings 2002 Design Automation Conference (IEEE Cat. No.02CH37324)  
We believe that our findings open up new directions of research with deep implications on some fundamental issues in on-chip network design for multimedia applications.  ...  The objective of this paper is to introduce self-similarity as a fundamental property exhibited by the bursty traffic between on-chip modules in typical MPEG-2 video applications.  ...  Second, we characterize quantitatively the degree of self-similarity of the on-chip traffic using standard techniques based on Hurst parameter [3] .  ... 
doi:10.1109/dac.2002.1012731 fatcat:tzg4hjzztjbi7ovx2yfxcbdb5a

Traffic analysis for on-chip networks design of multimedia applications

Girish Varatkar, Radu Marculescu
2002 Proceedings - Design Automation Conference  
We believe that our findings open up new directions of research with deep implications on some fundamental issues in on-chip network design for multimedia applications.  ...  The objective of this paper is to introduce self-similarity as a fundamental property exhibited by the bursty traffic between on-chip modules in typical MPEG-2 video applications.  ...  Second, we characterize quantitatively the degree of self-similarity of the on-chip traffic using standard techniques based on Hurst parameter [3] .  ... 
doi:10.1145/514112.514116 fatcat:3b2y7dwrgrbzniv6n5ywuzxfpq

Traffic analysis for on-chip networks design of multimedia applications

Girish Varatkar, Radu Marculescu
2002 Proceedings - Design Automation Conference  
We believe that our findings open up new directions of research with deep implications on some fundamental issues in on-chip network design for multimedia applications.  ...  The objective of this paper is to introduce self-similarity as a fundamental property exhibited by the bursty traffic between on-chip modules in typical MPEG-2 video applications.  ...  Second, we characterize quantitatively the degree of self-similarity of the on-chip traffic using standard techniques based on Hurst parameter [3] .  ... 
doi:10.1145/513918.514116 dblp:conf/dac/VaratkarM02 fatcat:hetqxprebfcvbfvukv7ivzbehi

QuaLe: A Quantum-Leap Inspired Model for Non-stationary Analysis of NoC Traffic in Chip Multi-processors

Paul Bogdan, Miray Kas, Radu Marculescu, Onur Mutlu
2010 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip  
This paper identifies non-stationary effects in grid like Network-on-Chip (NoC) traffic and proposes QuaLe, a novel statistical physics-inspired model, that can account for non-stationarity observed in  ...  As a case study, we show the benefits of our multifractal approach in estimating the probability of missing deadlines in packet scheduling for chip multiprocessors (CMPs).  ...  On-chip networks resemble traditional data networks as the switches, routers and the packet-based communication constitute the basic elements of both types of networks.  ... 
doi:10.1109/nocs.2010.34 dblp:conf/nocs/BogdanKMM10 fatcat:6tet5z3n65f4jjizdbtsqjtzba

Long-range dependence and on-chip processor traffic

Antoine Scherrer, Antoine Fraboulet, Tanguy Risset
2009 Microprocessors and microsystems  
We also study the impact of long-range dependence on a real network-on-chip using the SocLib simulation environment and traffic generators of our own.  ...  Our experiments show that long-range dependence is not an ubiquitous property of on-chip processor traffic and that its impact on the network-on-chip is highly correlated with the low level communication  ...  On-chip traffic modeling The traffic produced by a component is modeled as a sequence of transactions composed of flits (flow transfer units) corresponding to a bus-word.  ... 
doi:10.1016/j.micpro.2008.08.010 fatcat:4zq2eijorrefro2auvl2v5gwai

On-chip traffic modeling and synthesis for MPEG-2 video applications

G.V. Varatkar, R. Marculescu
2004 IEEE Transactions on Very Large Scale Integration (vlsi) Systems  
We believe that our findings open new directions of research with deep implications on some fundamental issues in on-chip networks design for multimedia applications.  ...  Using a generic tile-based communication architecture, we discuss the implications of our findings on on-chip buffer space allocation and present quantitative evaluations for typical video streams.  ...  ACKNOWLEDGMENT The authors would like to thank the anonymous reviewers for their suggestions that improved several drafts of this paper.  ... 
doi:10.1109/tvlsi.2003.820523 fatcat:yncwhqvvlnd4vnquswynnet7li

Computation and communication refinement for multiprocessor SoC design

Radu Marculescu, Umit Y. Ogras, Nicholas H. Zamora
2006 ACM Transactions on Design Automation of Electronic Systems  
We make such a distinction, for instance, in Section 3.1, where we try to capture the impact of topology on the overall behavior of the network.  ...  Systems-on-chips (SoCs) implementing text, speech, and video processing applications are becoming overwhelmingly complex, with digital and analog parts coexisting on the same chip.  ...  Discussions with Professor Alberto Sangiovanni Vincentelli of UC Berkeley and the members in the component/communication-based design and heterogeneous systems teams in GSRC are also greatly acknowledged  ... 
doi:10.1145/1142980.1142983 fatcat:mqdulmqw5ngmljor6imi5c3z5i

Chip Multiprocessor Traffic Models Providing Consistent Multicast and Spatial Distributions

Dietmar Tutsch, Daniel Lüdtke
2008 Simulation (San Diego, Calif.)  
To investigate how a multicore processor behaves dependent on the chosen network-on-chip topology, a corresponding model must be established for performance evaluation.  ...  Chip multiprocessors (CMPs) have become the center of attention in recent years. They consist of multiple processor cores on a single chip.  ...  Stochastic Traffic Modeling To investigate how the behavior of a chip multiprocessor is dependent on the chosen network topology, a corresponding stochastic model can be established for performance evaluation  ... 
doi:10.1177/0037549708091638 fatcat:opux7kwufbcwra6wgd2qh6ewcm

Application driven traffic modeling for NoCs

Leonel Tedesco, Aline Mello, Leonardo Giacomet, Ney Calazans, Fernando Moraes
2006 Proceedings of the 19th annual symposium on Integrated circuits and systems design - SBCCI '06  
The network on chip (NoC) design process requires an adequate characterization of the application running on it to optimize communication resources utilization and dimensioning.  ...  noise traffic on some specific modeled flow.  ...  Two types of traffic generators are used: stochastic and trace-based.  ... 
doi:10.1145/1150343.1150364 dblp:conf/sbcci/TedescoMGCM06 fatcat:tn3eq7ngvvd63edqp23ffpenxu

Requirements for network-on-chip benchmarking

E. Salminen, T. Kangas, J. Riihimaki, T.D. Hamalainen
2005 2005 NORCHIP  
This work presents the motivation, basic concepts, and requirements for benchmarking a Network-on-Chip (NoC).  ...  The presented benchmarking method utilizes traffic generator with a dataflow models of the applications.  ...  Traffic characterization Traffic characterization is required in order to utilize traffic generators.  ... 
doi:10.1109/norchp.2005.1596994 fatcat:osqachbcsngl5kgssoh6wfy64i

A Self-Similar Traffic Model for Network-on-Chip Performance Analysis Using Network Calculus [chapter]

Yue Qian
2012 Advanced Topics in Multimedia Research  
Model for Network-on-Chip Performance Analysis Using Network Calculus Advanced Topics in Multimedia Research www.intechopen.com A Self-Similar Traffic Model for Network-on-Chip Performance Analysis  ...  Our simulations with real on-chip multimedia application traces have validated our model and results. We have aimed our performance analysis of self-similar traffic for on-chip networks.  ... 
doi:10.5772/36138 fatcat:iii4lxbs5rgcplsa3rlnp5jngq

Is " Network " the Next " Big Idea " in Design?

R. Marculescu, J. Rabaey, A. Sangiovanni-Vincentelli
2006 Proceedings of the Design Automation & Test in Europe Conference  
From large-scale hardware/software systems, to dynamically adaptive sensor networks, and network-on-chip architectures, these ideas find wide application.  ...  the network paradigm.  ...  Indeed, for both data networks and on-chip networks, using the Hurst parameter to characterize the degree of self-similarity helps finding the optimal buffer length distribution; this is a critical issue  ... 
doi:10.1109/date.2006.244112 dblp:conf/date/MarculescuRS06 fatcat:v5itu6e5gbcuvlpcc3qubd6s5y

Networks-on-Chip: Emerging Research Topics and Novel Ideas

Davide Bertozzi, Shashi Kumar, Maurizio Palesi
2007 VLSI design (Print)  
Networks-on-chip (NoCs) are being devoted intensive research efforts by R&D institutions all around the word, and it is our pleasure to host in this special issue the latest contributions on key design  ...  In a short span of seven years, networks-on-chip (NoCs) have been recognized as the most important alternative for the design of modular and scalable communication architectures, providing inherent support  ...  In "Stochastic communication: a new paradigm for faulttolerant networks-on-chip," the authors P.  ... 
doi:10.1155/2007/26454 fatcat:xqa4mh54fjcjfesipyeqkevrw4

An Energy-aware Routing Mechanism for Latency-sensitive Traffics

Peng Xiao, Peixin Qu, Xilong Qu
2013 International Journal of Grid and Distributed Computing  
The proposed routing mechanism applies stochastic service model to calculate the latency-guarantee for any given network links.  ...  network traffics [11, 12] .  ...  To quantitatively evaluate the intensiveness of network workload as well as their individual QoS requirements, we applied stochastic model [31] to describe the working of the network links.  ... 
doi:10.14257/ijgdc.2013.6.6.03 fatcat:6j3pzyvovjetnn242pv444lzly

Radio Wave Propagation and Wireless Channel Modeling 2013

Bo Ai, Thomas Kürner, César Briso Rodríguez, Hsiao-Chun Wu
2014 International Journal of Antennas and Propagation  
A car-following model is used to characterize the motion of the vehicles driving in the same direction on a two-lane highway.  ...  The presented traffic mobility model together with the shadow fading path loss model takes into account the impact of LOS obstruction on the total received power in the multiple-lane highway scenarios.  ...  A car-following model is used to characterize the motion of the vehicles driving in the same direction on a two-lane highway.  ... 
doi:10.1155/2014/670564 fatcat:2xhsh7l5mzgazavjr4fgdji4wa
« Previous Showing results 1 — 15 out of 3,398 results