Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2744769.2744841acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Exploit imbalanced cell writes to mitigate write disturbance in dense phase change memory

Published:07 June 2015Publication History

ABSTRACT

Recent studies have shown that Phase Change Memory faces significant write disturbance (WD) when scaling in deep submicron regime, i.e., resetting a cell may disturb the values of its adjacent cells if these cells are in amorphous state. A preventive approach to mitigate WD errors is to allocate sufficient inter-cell thermal band. However, this approach greatly reduces chip capacity due to low cell density. A cost effective approach VnC (verify-and-correct), relies on Verification after each write and Correction if errors do happen. Simple VnC improves chip capacity but introduces large performance degradation.

In this paper, we propose to exploit the cell level write imbalance to mitigate WD errors. A memory line is often split into cell groups so that the cells within one group are written synchronously. We observe that only few cell groups are critical, which prolong write latency and degrade system performance. By rescuing the disturbance errors from critical groups with unused Error Correction Pointer(ECP) entries, we can greatly minimize the VnC impact on performance. The experimental results show that our proposed scheme effectively eliminates VnC overhead with minimal hardware adjustments.

References

  1. Pin tool. https://software.intel.com/en-us/articles/pintool.Google ScholarGoogle Scholar
  2. S. J. Ahn, Y. Song, et al. Reliability perspectives for high density pram manufacturing. In IEDM, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  3. A. R. Alameldeen and D. A. Wood. Adaptive Cache Compression for High-Performance Processors. In ISCA, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. Awasthi, M. Shevgoor, et al. Efficient scrub mechanisms for error-prone emerging memories. In HPCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Bienia, S. Kumar, et al. The parsec benchmark suite; Characterization and architectural implications. In PACT, October 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Y. Choi, I. Song, et al. A 20nm 1.8v 8gb pram with 40mb/s program bandwidth. In ISSCC, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  7. Y. Du, M. Zhou, et al. Bit mapping for balanced pcm cell programming. In ISCA, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. K. Fang, L. Chen, et al. Memory architecture for integrating emerging memory technologies. In PACT, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. L. Jiang, Y. Zhang, et al. Mitigating write disturbance in super dense phase change memory. In DSN, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. B. Kim, Y. Song, et al. Current status and future prospect of phase change memory. In ASICON, 2011.Google ScholarGoogle Scholar
  11. B. C. Lee, E. Ipek, et al. Architecting phase change memory as a scalable dram alternative. In ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Lee, C. Kwak, et al. Nonvolatile memory device and related methods of operation, Jan. 25 2011. US Patent 7,876,609.Google ScholarGoogle Scholar
  13. S. Lee, M. Kim, et al. Programming disturbance and cell scaling in pcm: for up to 16nm based 4f2 cell. In VLSIT, 2010.Google ScholarGoogle Scholar
  14. J. Liu, B. Jaiyen, et al. Raidr: Retention-aware intelligent dram refresh. In ISCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. M. K. Qureshi, M. M. Franceschini, et al. Improving read performance of phase change memories via write cancellation and write pausing. In HPCA, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  16. M. K. Qureshi, V. Srinivasan, et al. Scalable high performance main memory system using phase-change memory technology. In ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. N. H. Seong, D. H. Woo, et al. SAFER: Stuck-At-Fault error recovery for memories. In MICRO, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. S. Schechter, G. H. Loh, et al. Use ecp, not ecc, for hard failures in resistive memories. In ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. C. Villa, D. Mills, et al. A 45nm 1gb 1.8v phase-change memory. In ISSCC, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  20. W. Zhang and T. Li. Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system. In DSN, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. P. Zhou, B. Zhao, et al. A durable and energy efficient main memory using phase change memory technology. In ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Exploit imbalanced cell writes to mitigate write disturbance in dense phase change memory

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '15: Proceedings of the 52nd Annual Design Automation Conference
      June 2015
      1204 pages
      ISBN:9781450335201
      DOI:10.1145/2744769

      Copyright © 2015 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 7 June 2015

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader