Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2694344.2694352acmconferencesArticle/Chapter ViewAbstractPublication PagesasplosConference Proceedingsconference-collections
research-article

SD-PCM: Constructing Reliable Super Dense Phase Change Memory under Write Disturbance

Authors Info & Claims
Published:14 March 2015Publication History

ABSTRACT

Phase Change Memory (PCM) has better scalability and smaller cell size comparing to DRAM. However, further scaling PCM cell in deep sub-micron regime results in significant thermal based write disturbance (WD). Naively allocating large inter-cell space increases cell size from 4F2 ideal to 12F2. While a recent work mitigates WD along word-lines through disturbance resilient data encoding, it is ineffective for WD along bit-lines, which is more severe due to widely adopted $\mu$Trench structure in constructing PCM cell arrays. Without mitigating WD along bit-lines, a PCM cell still has 8F2, which is 100% larger than the ideal. In this paper, we propose SD-PCM for achieving reliable write operations in super dense PCM. In particular, we focus on mitigating WD along bit-lines such that we can construct super dense PCM chips with 4F2 cell size, i.e., the minimal for diode-switch based PCM. Based on simple verification-n-correction (VnC), we propose LazyCorrection and PreRead to effectively reduce VnC overhead and minimize cascading verification during write. We further propose (n:m)-Alloc for achieving good tradeoff between VnC overhead minimization and memory capacity loss. Our experimental results show that, comparing to a WD-free low density PCM, SD-PCM achieves 80% capacity improvement in cell arrays while incurring around 0-10% performance degradation when using different (n:m) allocators.

References

  1. Pin tool. https://software.intel.com/en-us/articles/pintool.Google ScholarGoogle Scholar
  2. Spec2006 benchmarks. http://www.spec.org/cpu2006/.Google ScholarGoogle Scholar
  3. Stream benchmark. http://www.cs.virginia.edu/stream/.Google ScholarGoogle Scholar
  4. S. J. Ahn, Y. Song, H. Jeong, B. Kim, Y.-S. Kang, D.-H. Ahn, Y. Kwon, S.-W. Nam, G. Jeong, H. Kang, and C. Chung. Reliability perspectives for high density pram manufacturing. In IEDM, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  5. M. Awasthi, M. Shevgoor, K. Sudan, B. R. R. Balasubramonian, and V. Srinivasan. Efficient scrub mechanisms for error-prone emerging memories. In HPCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. G. W. Burr, M. J. Breitwisch, M. Franceschini, D. Garetto, K. Gopalakrishnan, B. Jackson, B. Kurdi, C. Lam, L. A. Lastras, A. Padilla, et al. Phase change memory technology. Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 28(2), 2010.Google ScholarGoogle Scholar
  7. S. Cho and H. Lee. Flip-n-write: A simple deterministic technique to improve pram writeperformance, energy and endurance. In MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Y. Choi, I. Song, and M.-H. Park. A 20nm 1.8v 8gb pram with 40mb/s program bandwidth. In ISSCC, 2012.Google ScholarGoogle Scholar
  9. B. Gleixner, F. Pellizzer, and R. Bez. Reliability characterization of phase change memory. In EPCOS, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  10. L. Jiang, Y. Zhang, and J. Yang. Mitigating write disturbance in super dense phase change memory. In DSN, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. D. Kang, J. Lee, J. Kong, D. Ha, and et. al. Two-bit cell operation in diode-switch phase change memory cells with 90nm technology. In VLSI Technology, 2008.Google ScholarGoogle Scholar
  12. M. Kang, T. J. Park, Y. W. Kwon, D. Ahn, Y. Kang, H. Jeong, S. Ahn, Y. Song, B. Kim, S. Nam, H.-K. Kang, G. Jeong, and C. Chung. Pram cell technology and characterization in 20nm node size. In IEDM, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  13. B. Kim, Y. Song, D. Ahn, Y. Kang, H. Jeong, D. Ahn, S. Nam, G. Jeong, and C. Chung. Current status and future prospect of phase change memory. In ASICON, 2011.Google ScholarGoogle Scholar
  14. B. C. Lee, E. Ipek, O. Mutlu, and D. Burger. Architecting phase change memory as a scalable dram alternative. In ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Lee, M. Kim, G. S. Do, S. Kim, H. Lee, J. S. Sim, N. G. Park, S. B. Hong, Y. H. Jeon, K. Choi, H. Park, T. Kim, J. Lee, H. Kim, M. R. Choi, S. Lee, Y. Kim, H. J. Kang, J. Kim, H. Kim, Y. S. Son, B. Lee, J.-H. Choi, S. Kim, J. Lee, S. J. Hong, and S.-W. Park. Programming disturbance and cell scaling in pcm: for up to 16nm based 4f 2 cell. In VLSIT, 2010.Google ScholarGoogle Scholar
  16. J. Liu, B. Jaiyen, R. Veras, and O. Mutlu. Raidr: Retention- aware intelligent dram refresh. In ISCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. H. Park, J. Choi, D. Lee, and S. H. Noh. Regularities considered harmful: Forcing randomness to memory accesses to reduce buffer conflicts for multi-bank, multi-core systems. In ASPLOS, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. F. Pellizzer, A. Pirovano, F. Ottogalli, M. Magistretti, M. Scaravaggi, P. Zuliani, M. Tosi, A. Benvenuti, P. Besana, S. Cadeo, T. Marangon, R. Morandi, R. Piva, A. Spandre, R. Zonca, A. Modelli, E. Varesi, T. Lowrey, A. Lacaita, G. Casagrande, P. Cappelletti, and R. Bez. Novel utrench phase-change memory cell for embedded and stand-alone non-volatile memory applications. In VLSIT, 2004.Google ScholarGoogle Scholar
  19. M. K. Qureshi. Pay-as-you-go: Low-overhead hard-error correction for phase change memories. In MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. K. Qureshi, J. Karidis, M. F. andVijayalakshmi Srinivasan, L. Lastras, and B. Abali. Enhancing lifetime and security of pcm-based main memory with start-gapwear leveling. In MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. M. K. Qureshi, V. Srinivasan, and J. A. Rivers. Scalable high performance main memory system using phase-change memory technology. In ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. M. K. Qureshi, M. M. Franceschini, and L. A. Lastras-Montano. Improving read performance of phase change memories via write cancellation and write pausing. In HPCA, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  23. M. K. Qureshi, M. Franceschini, and L. L. and Ashish Jagmohan. Preset: Improving read write performance of phase change memories by exploiting asymmetry in write times. In ISCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. Raoux, G. W. Burr, M. J. Breitwisch, C. Rettner, Y.-C. Chen, R. M. Shelby, M. S. D. Krebs, S.-H. Chen, H.-L. Lung, and C. Lam. Phase-change random access memory: A scalable technology. IBM J. RES. & DEV., 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. U. Russo, D. Ielmini, A. Redaelli, and A. Lacaita. Intrinsic data retention in nano scaled phase-change memories - part i: Monte carlo model for crystallization and percolation. TED, 53(12), 2006.Google ScholarGoogle Scholar
  26. U. Russo, D. Ielmini, A. Redaelli, and A. Lacaita. Modeling of programming and read performance in phase-change memories - part i: Cell optimization and scaling. TED, 55(2), 2008.Google ScholarGoogle Scholar
  27. U. Russo, D. Ielmini, A. Redaelli, and A. Lacaita. Modeling of programming and read performance in phase-change memories - part ii: Program disturb and mixed-scaling approach. TED, 55(2), 2008.Google ScholarGoogle Scholar
  28. S. Schechter, G. H. Loh, K. Strauss, and D. Burger. Use ecp, not ecc, for hard failures in resistive memories. In ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. N. H. Seong, D. H. Woo, and H.-H. S. Lee. Security refresh: Prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping. In ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. N. H. Seong, D. H. Woo, V. Srinivasan, J. A. Rivers, and H.- H. S. Lee. Safer:stuck at fault error recovery for memories. In micro, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. N. H. Seong, S. Yeo, and H.-H. S. Lee. Tri-level-cell pcm: toward an efficient and reliable memory system. In ISCA, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. C. Wilkerson, A. R. Alameldeen, Z. Chishti, W. Wu, D. Somasekhar, and S.-l. Lu. Reducing cache power with low-cost, multi-bit error-correcting codes. In ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. D. H. Yoon, N. Muralimanohar, J. Chang, ParthasarathyRanganathan, N. P. Jouppi, and M. Erez. Free-p: Protecting non- volatile memory against both hard and softerrors. In HPCA, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. W. Zhang and T. Li. Helmet: A resistance drift resilient architecture for multi-levelcell phase change memory system. In DSN, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. P. Zhou, B. Zhao, J. Yang, and Y. Zhang. A durable and energy efficient main memory using phase change memory technology. In ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. SD-PCM: Constructing Reliable Super Dense Phase Change Memory under Write Disturbance

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ASPLOS '15: Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems
      March 2015
      720 pages
      ISBN:9781450328357
      DOI:10.1145/2694344

      Copyright © 2015 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 14 March 2015

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      ASPLOS '15 Paper Acceptance Rate48of287submissions,17%Overall Acceptance Rate535of2,713submissions,20%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader