Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/2333660.2333672acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

ER: elastic RESET for low power and long endurance MLC based phase change memory

Authors Info & Claims
Published:30 July 2012Publication History

ABSTRACT

Phase Change Memory (PCM) has recently emerged as a promising nonvolatile memory technology. To effectively increase memory capacity and reduce per bit fabrication cost, multi-level cell (MLC) PCM stores more than one bit per cell by differentiating multiple intermediate resistance levels. However, MLC PCM suffers from significantly shortened endurance due to its large RESET current that initiates the cell state. In this paper, we propose elastic RESET (ER) to construct non-2n-state MLC PCM, e.g., 3-state MLC PCM instead of 4-state one for 2-bit MLC. We then adopt data compression and propose fraction encoding to store compressed data using non-2n-state MLC. By reducing RESET energy, ER significantly reduces write power and prolongs PCM lifetime. On average, we observed 17% RESET power reduction and 32x endurance improvement for 2-bit MLC.

References

  1. A. R. Alameldeen and D. A. Wood, "Adaptive Cache Compression for High-Performance Processors", in ISCA, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. M. Arjomand, et al., "A Morphable PCM Architecture Considering Frequent Zero Values", in ICCD, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Awasthi, et al., "Efficient Scrub Mechanisms for Error-Prone Emerging Memories", in HPCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. F. Bedeschi, et al., "A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage," JSSC, 2009.Google ScholarGoogle Scholar
  5. S. Braga, et al., "Modeling of Partial-RESET Dynamics in Phase Change Memories," in ESSDERC, 2010.Google ScholarGoogle Scholar
  6. S. Braga, et al., "Voltage-Driven Partial-RESET Multilevel Programming in Phase-Change Memories," in ESSDERC, 2010.Google ScholarGoogle Scholar
  7. C. Calligaro, et al., "Comparative Analysis of Sensing Schemes for Multilevel Non-volatile Memories," in ICISS, 1997.Google ScholarGoogle Scholar
  8. S. Cho and H. Lee, "Flip-N-Write: A Simple Deterministic Technique to Improve PRAM Write Performance, Energy and Endurance," in MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. A. Hay, et al., "Preventing PCM Banks from Seizing Too Much Power," in MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. L. Jiang, et al., "Enhancing Phase Change Memory Lifetime through Fine-Grained Current Regulation and Voltage Upscaling," in ISLPED, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. L. Jiang, et al., "Improving Write Operations in MLC Phase Change Memory," in HPCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Joshi, et al., "Mercury: A Fast and Energy-Efficient Multi-level Cell based Phase Change Memory System," in HPCA, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. K. Kim and S. J. Ahn, "Reliability Investigations for Manufacturable High Density PRAM," in IRPS, 2005.Google ScholarGoogle Scholar
  14. D. Mantegazza, et al., "Statistical Analysis and Modeling of Programming and Retention in PCM Arrays," in IEDM, 2007.Google ScholarGoogle Scholar
  15. T. Nirschl, et al., "Write Strategies for 2 and 4-bit Multi-Level Phase-Change Memory," in IEDM, 2007.Google ScholarGoogle Scholar
  16. N. Papandreou, et al., "Estimation of Amorphous Fraction in Multilevel Phase Change Memory Cells," in ESSDERC, 2009.Google ScholarGoogle Scholar
  17. M. K. Qureshi, et al., "Enhancing Lifetime and Security of PCM-based Main Memory with Start-Gap Wear Leveling," in MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. M. K. Qureshi, et al., "Improving Read Performance of Phase Change Memories via Write Cancellation and Write Pausing," in HPCA, 2010.Google ScholarGoogle Scholar
  19. B. Rajendran, et al., "Analytical Model for Reset Operation of Phase Change Memory," in IEDM, 2008.Google ScholarGoogle Scholar
  20. S. Schechter, et al., "Use ECP, not ECC, for Hard Failures in Resistive Memories, in ISCA," 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. J. Wang, et al., "Energy-efficient Multi-Level Cell PCM System with Data Encoding," in ICCD, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. D. H. Yoon, et al., "FREE-p: Protecting Non-volatile Memory against Both Hard and Soft Errors," in HPCA, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. W. Zhang and T. Li, "Characterizing and Mitigating the Impact of Process Variations on Phase Change based Memory Systems," in MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. P. Zhou, et al., "A Durable and Energy Efficient Main Memory Using Phase Change Memory Technology," in ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. ER: elastic RESET for low power and long endurance MLC based phase change memory

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '12: Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
      July 2012
      438 pages
      ISBN:9781450312493
      DOI:10.1145/2333660

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 30 July 2012

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader