Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1393921.1393934acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Dynamic virtual ground voltage estimation for power gating

Published:11 August 2008Publication History

ABSTRACT

With the technology moving into the deep sub-100nm region, the increase of leakage power consumption necessitates more aggressive power reduction techniques. Power gating is a promising technique. Our research emphasizes the virtual ground voltage (VVG) as the key to make critical design trade-offs for power gating. We develop an accurate model to estimate the dynamic VVG value of a circuit block as a function of time after its ground is gated. Experimental results show that the model has less than 1% average error compared with HSPICE results. The CAD tool implemented based on the model has a 100 times speedup over HSPICE.

References

  1. Roy, K.; Mukhopadhyay, S.; Mahmoodi-Meimand, H., "Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits," In Proc. of the IEEE, Volume 91, pp. 305--327, Feb. 2003.Google ScholarGoogle ScholarCross RefCross Ref
  2. Kaijian Shi; Howard, D., "Challenges in sleep transistor design and implementation in low-power designs," In Proc. of Design Automation Conference, pp. 113--116, Jul. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Singh, H.; Agarwal, K.; Sylvester, D.; Nowka, K.J., "Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating," IEEE Trans. Very Large Scale Integration (VLSI) Systems, Volume 15, pp. 1215--1224, Nov. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Suhwan Kim; Kosonocky, S.V.; Knebel, D.R.; Stawiasz, K.; Papaefthymiou, M.C., "A Multi-Mode Power Gating Structure for Low-Voltage Deep-Submicron CMOS ICs," IEEE Trans. Circuits and Systems II: Express Briefs, Volume 54, pp. 586--590, Jul. 2007.Google ScholarGoogle ScholarCross RefCross Ref
  5. Kim, S.; Choi, C. J.; Jeong, D.-K.; Kosonocky, S. V.; Park, S. B., "Reducing Ground-Bounce Noise and Stabilizing the Data-Retention Voltage of Power-Gating Structures," IEEE Trans. on Electron Devices, Volume 55, pp. 197-205, Jan. 2008.Google ScholarGoogle ScholarCross RefCross Ref
  6. R. S. Chau, "Intel's breakthrough in high-K gate dielectric drives Moore's law well into the future," Technology@Intel Magazine, 2004.Google ScholarGoogle Scholar
  7. Nose, K.; Soo-Ik Chae; Sakurai, T., "Voltage dependent gate capacitance and its impact in estimating power and delay of CMOS digital circuits with low supply voltage," In Proc. of Low Power Electronics and Design, pp. 228--230, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Kahng, A. B.; Muddu, S.; Sharma, P.;, "Defocus-Aware Leakage Estimation and Control," In IEEE Transactions on CAD, Volume 27, pp. 230--240, Feb. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Arizona State University, "Predictive Technology Model," Available: http://www.eas.asu.edu/~ptm/Google ScholarGoogle Scholar
  10. TAMU, "Layout and Parasitic Information for ISCAS Circuits," Available: http://dropzone.tamu.edu/~xiang/iscas.htmlGoogle ScholarGoogle Scholar

Index Terms

  1. Dynamic virtual ground voltage estimation for power gating

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '08: Proceedings of the 2008 international symposium on Low Power Electronics & Design
      August 2008
      396 pages
      ISBN:9781605581095
      DOI:10.1145/1393921

      Copyright © 2008 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 11 August 2008

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader