Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/951710.951745acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
Article

Power efficient encoding techniques for off-chip data buses

Published:30 October 2003Publication History

ABSTRACT

Reducing the power consumption of computing devices has gained a lot of attention recently. Many research works have focused on reducing power consumption in the off-chip buses as they consume a significant amount of total power. Since the bus power consumption is proportional to the switching activity, reducing the bus switching is an effective way to reduce bus power. While numerous techniques exist for reducing bus power in address buses, only a handful of techniques have been proposed for data-bus power reduction, where Frequent Value Encoding (FVE) is the best existing scheme to reduce the transition activity on the data buses.In this paper, we propose improved frequent value data-bus encoding techniques aimed at reducing more switching activity and hence, more power consumption. We propose three new schemes and five new variations to exploit bit-wise temporal and spatial locality in the data bus values. Our technique does not use additional external control signal and captures bit-wise locality to efficiently encode data values. For all the embedded and SPEC applications we tested, the overall average switching reduction is 53% over unencoded data and 11% more than the conventional FVE scheme.

References

  1. K. Basu, A. Choudhary, J. Pisharath, M. Kandemir, "Power Protocol: Reducing Power Dissipation on Off-Chip Data Buses", 35th Annual IEEE/ACM International Symposium on Micro-architecture (MICRO-35), Istanbul, Turkey, November 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. L. Benini, A. Macci, E. Macii, M. Poncino, and R. Scarsi, "Architectures and synthesis algorithms for power-efficient bus interfaces," IEEE Transactions on Computer Aided Design of Circuits and Systems, vol.19, no.9, Sept. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. L. Benini, G. De Micheli, E. Macii, D. Sciuto, and C. Silvano, "Asymptotic zero-transition activity encoding for address buses in low-power microprocessor-based systems," Great Lakes VLSI Symposium, pp. 77--82 Urbana IL, March 13-15, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. L. Benini, A. Macii, E. Macii, M. Poncino, and R. Scarsi, "Synthesis of Low-Overhead Interfaces for Power-Efficient Communication Over Wide Buses," ACM/IEEE Design Automation Conference, pages 128--133, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. D. Burger and T. M. Austin. "The SimpleScalar Tool Set, Version 2.0. Technical report", University of Wisconsin-Madison Computer Science Department, 1997.Google ScholarGoogle Scholar
  6. J.H. Chern, J. Jurang, L. Arledge, P. Li and P. Yang, "Multilevel Metal Capacitance Models for CAD Design Synthesis Systems", IEEE Electron Device Letters, Vol13, pp.32--34, January 1992.Google ScholarGoogle Scholar
  7. T. Givargis, F. Vahid "Interface Exploration for Reduced Power in Core-Based Systems", International Symposium on System Synthesis, December 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. T. Givargis, D. Eppstein, "Reference Caching Using Unit Distance Redundant Codes for Activity Redcution on Address Buses", International Workshop on Embedded System Hardware/Software Codesign (ESCODES), San Jose, September 2002.Google ScholarGoogle Scholar
  9. C. Lee, M. Potkonjak, and W. Mangione-Smith, "MediaBench: a tool for evaluating and synthesizing multimedia and communications systems", In International Symposium on Microarchitecture, pages 330--335, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. T. Lv, J. Henkel, H. Lekatsas, W. Wolf, "An Adaptive Dictionary Encoding Scheme for SOC Data Buses", DATE02, Paris France, Mar 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. G. Memik, W. H. Mangione Smith, and W. Hu, "NetBench: A Benchmarking suite for Netwokr Processors", In International Conference on Computer Aided Design (ICCAD), pp 39--42, Nov2001, San Jose, CA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. "National Technology Roadmap for Semiconductors". Semiconductor Industry Association, 2001.Google ScholarGoogle Scholar
  13. A. Raghunathan, N.K. Jha, S.Dey, "High-level Power Analysis and Optimization", Kluwer Academic Publishers, Norwell, MA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M. R. Stan. and Burleson,W. P., "Bus-invert coding for low-power I/O," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, pages 49--58, Vol. 3, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. N.H.E. Weste, K. Eshraghian. "Principles of CMOS VLSI Design". Addison Wesley, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. Yang, R. Gupta, "FV Encoding for Low-Power Data I/O," ACM/IEEE International Symposium on Low Power Electronic Design, pages 84--87, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Y. Zhang, J. Yang, R. Gupta, "Frequent Value Locality and Value-Centric Data Cache Design," ACM The Ninth International Conference on Architectural Support for Programming Languages and Operating Systems, pages 150--159, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Power efficient encoding techniques for off-chip data buses

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      CASES '03: Proceedings of the 2003 international conference on Compilers, architecture and synthesis for embedded systems
      October 2003
      340 pages
      ISBN:1581136765
      DOI:10.1145/951710

      Copyright © 2003 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 30 October 2003

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      CASES '03 Paper Acceptance Rate31of162submissions,19%Overall Acceptance Rate52of230submissions,23%

      Upcoming Conference

      ESWEEK '24
      Twentieth Embedded Systems Week
      September 29 - October 4, 2024
      Raleigh , NC , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader