Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.1145/1057661.1057754acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
Article

Moment-driven coupling-aware routing methodology

Published:17 April 2005Publication History

ABSTRACT

An underdamped signal response with a number of overshoots and undershoots may lead to false switching and increased settling time delay. This 'ringing' effect adversely affects the signal quality at the output and becomes a source of major concern at multi-GHz frequencies, as the self and mutual inductance of interconnects start playing a crucial role in the performance of a circuit. Reduction in wire length or minimization of coupling capacitance, the stronghold in many earlier routing techniques, may produce a routing solution suitable only at sub-GHz frequencies. In this paper, we propose a routing methodology that accounts for inductive and capacitive parasitics (self and mutual) of the interconnects in its cost function through a combination of second and third order central moments. A trade-off between signal delay and amount of ringing, quantified by second and third order central moments respectively, has been made, which generates a routing solution with the best compromise between ringing and delay for each net under a monotone signal response.

References

  1. R. Kastner, E. Bozorgzadeh and M. Sarrafzadeh. Coupling Aware Routing. In International ASIC/SOC Conference, pages 392--396, 2000.Google ScholarGoogle Scholar
  2. R. M. Smey, B. Swartz and P H. Madden. Crosstalk Reduction in Area Routing. In Design Automation and Test in Europe Conference, pages 862--867, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. R. Kastner, E. Bozorgzadeh and M. Sarrafzadeh. Predictable Routing. In International Conference on Computer Aided Design, pages 110--113, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. R. T. Hadsell and P. M. Madden. Improved Global Routing through Congestion Estimation. In Design Automation Conference, pages 28--31, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. J. Cong and P. Madden. Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs. In Design Automation Conference, pages 356--361, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. T. Ho et al. A Fast Crosstalk- and Performance-Driven Multilevel Routing System. In International Conference on Computer Aided Design, pages 382--387, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Lillis et al. New Performance Driven Routing Techniques With Explicit Area/Delay Tradeoff and Simultaneous Wire Sizing. In Design Automation Conference, pages 395--400, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. S. Hur, A. Jagannathan and J. Lillis. Timing-Driven Maze Routing. In Tran. on Computer-Aided Design of Integrated Circuits and Systems, pages 234--241, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Hu and S. Sapatnekar. A Timing-Constrained Simultaneous Global Routing Algorithm. In Tran. on Computer-Aided Design of Integrated Circuits and Systems, pages 1025--1036, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Cong, C. Koh and P. Maddden. Interconnect Layout Optimization Under Higher Order RLC Model for MCM Designs. In Tran. on Computer-Aided Design of Integrated Circuits and Systems, pages 1455--1463, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. R. Gupta, B. Krauter, L. T. Pileggi. Transmission Line Synthesis via Constrained Multivariable Optimization. In Tran. on Computer-Aided Design of Integrated Circuits and Systems, pages 6--19, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. C. K. Cheng, J. Lillis, S. Lin and N. Chang. Interconnect Analysis and Synthesis. John Wiley & Sons, Inc, 2000.Google ScholarGoogle Scholar
  13. H. B. Bakoglu. Circuits, Interconnections, and Packaging for VLSI. Addison-Wesley, 1990.Google ScholarGoogle Scholar
  14. S. H. Hall, G. W. Hall and J. A. McCall. High-Speed Digital System Design. John Wiley & Sons, Inc, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. X. Qi et al. On-Chip Inductance Modeling and RLC Extraction of VLSI Interconnects for Circuit Simulation. In Custom Integrated Circuits Conference, pages 487--490, 2000.Google ScholarGoogle Scholar
  16. M. Xu, L. He. An Efficient Model for Frequency-Dependent On-Chip Inductance. In Great Lakes Symposium on VLSI, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. M. Borah et al. An edge-based heuristic for Steiner routing. In Tran. on Computer-Aided Design of Integrated Circuits and Systems, pages 1563--1568, 1994.Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Moment-driven coupling-aware routing methodology

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '05: Proceedings of the 15th ACM Great Lakes symposium on VLSI
      April 2005
      518 pages
      ISBN:1595930574
      DOI:10.1145/1057661
      • General Chair:
      • John Lach,
      • Program Chairs:
      • Gang Qu,
      • Yehea Ismail

      Copyright © 2005 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 17 April 2005

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader