Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Filters








27 Hits in 4.5 sec

Nano-intrinsic security primitives with redox-based resistive memory

Jeeson Kim
2022 Frontiers in Communications and Networks  
This review shows various ReRAM-based PUF implementations and their key features. We compare their performance and discuss which properties of ReRAM to focus on for effective PUF implementation.  ...  Among various emerging memories, redox-based resistive memory (ReRAM) is a promising candidate for providing next-generation low-cost, low-power, ultra-small PUF-based security solutions.  ...  of ReRAM-based PUF constructions.  ... 
doi:10.3389/frcmn.2022.884874 fatcat:jzsb4qluuneedlb2yy5rlwrsau

A Physical Unclonable Function with Redox-based Nanoionic Resistive Memory [article]

Jeeson Kim, Taimur Ahmed, Hussein Nili, Jiawei Yang, Doo Seok Jeong, Paul Beckett, Sharath Sriram, Damith C. Ranasinghe, Omid Kavehei
2016 arXiv   pre-print
In addition to a massive pool of Challenge-Response Pairs (CRPs), using a combination of experimental and simulation, our proposed PUF shows a reliability of 98.67%, a uniqueness of 49.85%, a diffuseness  ...  A unique set of characteristics are packed in emerging nonvolatile reduction-oxidation (redox)-based resistive switching memories (ReRAMs) such as their underlying stochastic switching processes alongside  ...  INTRODUCTION R EDOX based resistive memories (ReRAMs) are an emerging class of two-terminal nonvolatile memory technology.  ... 
arXiv:1611.04665v1 fatcat:ijqjcfe7mbgyvpwjvlffhicypa

A ReRAM Physically Unclonable Function (ReRAM PUF)-based Approach to Enhance Authentication Security in Software Defined Wireless Networks [article]

Fatemeh Afghah, Bertrand Cambou, Masih Abedini, Sherali Zeadally
2017 arXiv   pre-print
We also proposed a PUF-based PKI protocol to secure the controller in SDWNs. The performance of the developed ReRAM-based PUFs are evaluated in the experimental results.  ...  In this approach, digital PUFs are developed using the inherent randomness of the nanomaterials of Resistive Random Access Memory (ReRAM) that are embedded in most IoT devices to enable a secure authentication  ...  Acknowledgements This project has been partially supported by Arizona Board of Regents under grants 1003074 and 1003074.  ... 
arXiv:1712.09916v1 fatcat:ljwzfbjnd5bi5cp4eec56esucq

Table of Contents

2020 IEEE Transactions on Reliability  
Zhou 674 Device and Mechanical Reliability Vulnerabilities and Reliability of ReRAM Based PUFs and Memory Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  ...  Han 699 Network Reliability On Reliability of Multiprocessor System Based on Star Graph . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .  ... 
doi:10.1109/tr.2020.2988783 fatcat:4dpycac6izcbre6ozxbtqksu4q

TRNGs from Pre-Formed ReRAM Arrays

Bertrand Cambou, Donald Telesca, Sareh Assiri, Michael Garrett, Saloni Jain, Michael Partridge
2021 Cryptography  
at the array of ReRAM cells; (ii) a method to read the resistance values of these cells with a low injected current, and to convert the values into a stream of random bits; and, if needed, (iii) a method  ...  The natural stochastic properties of the ReRAM cells in the pre-forming range, at low currents, have been analyzed and demonstrated by measuring a statistically significant number of cells.  ...  We are also thanking the professionals of the Air Force Research  ... 
doi:10.3390/cryptography5010008 fatcat:cb5m7ouowvb67h56eydb5ozxei

Key Recovery for Content Protection Using Ternary PUFs Designed with Pre-Formed ReRAM

Bertrand Francis Cambou, Saloni Jain
2022 Applied Sciences  
In the experimental section, we describe how prototypes were fabricated to test and quantify the performance of the suggested methods, using static random access memory devices as the benchmark.  ...  The ternary cryptographic protocols presented in this paper, leverage the physical properties of resistive random-access memories operating at extremely low power in the pre-forming range to create an  ...  In addition, the authors thank the members of AFRL, Donald Telesca and Shelton Jacinto. Several members of Crossbar Incorporated are also recognized for their support and guidance, in particular Jo.  ... 
doi:10.3390/app12041785 fatcat:5bfkrz7xm5dm5gwuf6pq74xbfe

Low-power emerging memristive designs towards secure hardware systems for applications in internet of things

Nan Du, Heidemarie Schmidt, Ilia Polian
2021 Nano Materials Science  
By reviewing the state-of-the-art in three highlighted memristive application areas, i.e. memristive non-volatile memory, memristive reconfigurable logic computing and memristive artificial intelligent  ...  Hardware security primitives Machine learning-related attacks and defenses A B S T R A C T Emerging memristive devices offer enormous advantages for applications such as non-volatile memories and inmemory  ...  N.D. and H.S. acknowledges the funding by the Fraunhofer Internal Programs under Grant No. Attract 600768. We are thankful to two anonymous reviewers for their constructive feedback.  ... 
doi:10.1016/j.nanoms.2021.01.001 fatcat:ellxqwgigvfizbtkgqznvpat44

Practical Experiments to Evaluate Quality Metrics of MRAM-Based Physical Unclonable Functions

Arash Nejat, Frederic Ouattara, Mohammad Mohammadinodoushan, Bertrand Cambou, Ken Mackay, Lionel Torres
2020 IEEE Access  
Contrariwise, PUFs based on technlogies such as Resistive RAM (ReRAM) and Magnetoresistive RAM (MRAM) use the resistance value of memory cells.  ...  A well-known class of PUFs in digital circuits/systems is Memory-PUF in which memory cells are employed to design and implement PUFs.  ... 
doi:10.1109/access.2020.3024598 fatcat:wn4hrhqez5axnisrumgjyu22h4

Resilient Password Manager Using Physical Unclonable Functions

Mohammad Mohammadinodoushan, Bertrand Cambou, Christopher Philabaum, Nan Duan
2021 IEEE Access  
However, such a scheme cannot operate without a backup in case of catastrophic failure of the PUFs. The likelihood of a failure is low unless the opponent finds a way to destroy the PUF.  ...  The second PUF output in the database is only checked in the exceptional cases when the first PUF does not work correctly; therefore, both false reject rates and latencies are not degraded.  ...  ACKNOWLEDGMENT The authors would like to thank several staff and instructors at Northern Arizona University, particularly Ian Burke, and Julie B Heynssens.  ... 
doi:10.1109/access.2021.3053307 fatcat:b2a5q7ba2zggpfqxtyziorqp4q

2020 Index IEEE Transactions on Reliability Vol. 69

2020 IEEE Transactions on Reliability  
., +, TR March 2020 310-321 Vulnerabilities and Reliability of ReRAM Based PUFs and Memory Logic.  ...  ., +, TR March 2020 174-187 Vulnerabilities and Reliability of ReRAM Based PUFs and Memory Logic.  ... 
doi:10.1109/tr.2021.3050424 fatcat:fpn4y3gddvfobm3v7c25iogmxi

A Survey on Physical Unclonable Function (PUF)-based Security Solutions for Internet of Things [article]

Alireza Shamsoshoara, Ashwija Korenda, Fatemeh Afghah, Sherali Zeadally
2020 arXiv   pre-print
We also present a proof-of-concept PUF-based solution for secret key generation using resistive random-access memories (ReRAM) embedded in IoTs.  ...  We discuss the advantages of PUF-based key generation methods, and we present a survey of state-of-the-art techniques in this domain.  ...  PUFs can be made from different types of memory including SRAM, Flash, MRAM, memristor, and ReRAM.  ... 
arXiv:1907.12525v2 fatcat:74ylrh53n5a37pbawt3fr7ivku

Hardware Security in Spin-Based Computing-In-Memory: Analysis, Exploits, and Mitigation Techniques [article]

Xueyan Wang, Jianlei Yang, Yinglin Zhao, Xiaotao Jia, Gang Qu, Weisheng Zhao
2020 arXiv   pre-print
Computing-in-memory (CIM) is proposed to alleviate the processor-memory data transfer bottleneck in traditional Von-Neumann architectures, and spintronics-based magnetic memory has demonstrated many facilitation  ...  Since hardware security has become one of the major concerns in circuit designs, this paper, for the first time, investigates spin-based computing-in-memory (SpinCIM) from a security perspective.  ...  To perform SAT-based de-obfuscation attacks, the attacker needs to get the gate-level netlist which consists of conventional logic gates and obfuscated gates, the oracle function, and the set of possible  ... 
arXiv:2006.01425v1 fatcat:go556fucybhcpld6r7mymvqxru

A Proof of Concept SRAM-based Physically Unclonable Function (PUF) Key Generation Mechanism for IoT Devices [article]

Ashwija Reddy Korenda, Fatemeh Afghah, Bertrand Cambou, Christopher Philabaum
2019 arXiv   pre-print
rate and false rejection rate of the PUF.  ...  Using the custom-made software for our SRAM device, we can control the error rate of the PUF to achieve an adjustable memory-based PUF for key generation.  ...  SRAM-based PUFs SRAM-based PUFs exploit the lack of perfect symmetry of their cells, which are designed with flip-flop logic.  ... 
arXiv:1907.12144v1 fatcat:zlmlwvtn2vakvpklqbiziu5hki

A Review on Software-Based and Hardware-Based Authentication Mechanisms for the Internet of Drones

Emmanouel T. Michailidis, Demosthenes Vouyioukas
2022 Drones  
However, owing to the inherent features of the IoD and the limitations of Unmanned Aerial Vehicles (UAVs) in terms of energy, computational, and memory resources, designing efficient and lightweight authentication  ...  Additionally, this paper provides a review of effective hardware-based solutions for the identification and authentication of network nodes within the IoD that are based on Trusted Platform Modules (TPMs  ...  were comprehensively discussed, and a PUF implementation that relies on Resistive Random-Access Memory (ReRAM) was presented.  ... 
doi:10.3390/drones6020041 fatcat:ye34o3pjjjhovbe7ob3ch4mswa

Two Sides of the Same Coin: Boons and Banes of Machine Learning in Hardware Security

Wenye Liu, Chip-Hong Chang, Xueyang Wang, Chen Liu, Jason Fung, Mohammad Ebrahimabadi, Naghmeh Karimi, Xingyu Meng, Kanad Basu
2021 IEEE Journal on Emerging and Selected Topics in Circuits and Systems  
Accordingly, due to the opportunities of ML-assisted security and the vulnerabilities of ML implementation, in this paper, we will survey the applications, vulnerabilities and fortification of ML from  ...  Unclonable Functions (PUFs).  ...  The effect of hardware reliability issues on DNN processing has been simulated for CMOS devices [142] , [143] and Resistive Random Access Memory (ReRAM) [126] .  ... 
doi:10.1109/jetcas.2021.3084400 fatcat:c4wdkghpo5fwbhvkekaysnahzm
« Previous Showing results 1 — 15 out of 27 results