Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Filters








76 Hits in 5.7 sec

PoBO: A Polynomial Bounding Method for Chance-Constrained Yield-Aware Optimization of Photonic ICs [article]

Zichang He, Zheng Zhang
2021 arXiv   pre-print
We validate this method with a synthetic function and two photonic IC design benchmarks, showing that our method can obtain better design performance while meeting a pre-specified yield requirement.  ...  This paper investigates an alternative yield-aware optimization for photonic ICs: we will optimize the circuit design performance while ensuring a high yield requirement.  ...  Zhang, “Efficient and optimization method with adaptive online surrogate model- uncertainty modeling for system design via mixed integer pro- ing,” IEEE Trans.  ... 
arXiv:2107.12593v2 fatcat:3z7qbbkehnephlkylxpbyu6u7u

AI/ML Algorithms and Applications in VLSI Design and Technology [article]

Deepthi Amuru, Harsha V. Vudumula, Pavan K. Cherupally, Sushanth R. Gurram, Amir Ahmad, Andleeb Zahra, Zia Abbas
2023 arXiv   pre-print
An evident challenge ahead for the integrated circuit (IC) industry in the nanometer regime is the investigation and development of methods that can reduce the design complexity ensuing from growing process  ...  It, in turn, improves the IC yield and reduces the manufacturing turnaround time.  ...  This method can adapt over time and works well with new models; thus, it can be used in HD.  ... 
arXiv:2202.10015v2 fatcat:ej3pdqucqna3hlxbiq4mzmbl5y

Machine Learning for Microcontroller-Class Hardware – A Review [article]

Swapnil Sayan Saha, Sandeep Singh Sandha, Mani Srivastava
2022 arXiv   pre-print
We present both qualitative and numerical insights into different stages of model development by showcasing several use cases.  ...  We characterize a closed-loop widely applicable workflow of machine learning model development for microcontroller class devices and show that several classes of applications adopt a specific instance  ...  Static Models: Online learning improves the performance of the model by adapting the model on board without sensitive data leaving the device.  ... 
arXiv:2205.14550v3 fatcat:y272riitirhwfgfiotlwv5i7nu

E-prop on SpiNNaker 2: Exploring online learning in spiking RNNs on neuromorphic hardware

Amirhossein Rostami, Bernhard Vogginger, Yexin Yan, Christian G. Mayr
2022 Frontiers in Neuroscience  
Training ANNs directly at the edge is the next step with many applications such as the adaptation of models to specific situations like changes in environmental settings or optimization for individuals  ...  Typically, artificial neural networks (ANNs) are trained on graphics processing units (GPUs) and optimized for efficient execution on edge devices.  ...  Acknowledgments We thank the Center for Information Services and High Performance Computing (ZIH) at TU Dresden for generous allocations of computer resources.  ... 
doi:10.3389/fnins.2022.1018006 pmid:36518534 pmcid:PMC9742366 fatcat:qtzzyae6k5a3haq5gykohubzm4

Intelligence at the Extreme Edge: A Survey on Reformable TinyML [article]

Visal Rajapakse, Ishan Karunanayake, Nadeem Ahmed
2023 arXiv   pre-print
Tiny Machine Learning (TinyML) is an upsurging research field that proposes to democratize the use of Machine Learning and Deep Learning on highly energy-efficient frugal Microcontroller Units.  ...  ., solutions that permit models to improve once deployed. This work presents a survey on reformable TinyML solutions with the proposal of a novel taxonomy.  ...  However, existing research on model adaptivity is scarce and heavily fragmented in methods and techniques.  ... 
arXiv:2204.00827v2 fatcat:3calx3ozeffjti2oefmlauokjy

Infrastructure-free, Deep Learned Urban Noise Monitoring at ∼100mW [article]

Jihoon Yun, Sangeeta Srivastava, Dhrubojyoti Roy, Nathan Stohs, Charlie Mydlarz, Mahin Salman, Bea Steers, Juan Pablo Bello, Anish Arora
2022 arXiv   pre-print
902-928MHz ISM band urban environment by dynamically choosing good frequencies using an efficient new method that combines passive and active measurements.  ...  that is competitive with alternatives while also requiring 10× lesser training data and ∼2 orders of magnitude fewer runtime resources.  ...  Our online methods allow frequency adaptation to be provided on an ongoing basis and to be performed either locally or globally: In the local case, each node locally chooses the best frequency across of  ... 
arXiv:2203.06220v1 fatcat:ewnfjllqgfaijoisp2qtl4aed4

ACE-SNN: Algorithm-Hardware Co-design of Energy-Efficient & Low-Latency Deep Spiking Neural Networks for 3D Image Recognition

Gourav Datta, Souvik Kundu, Akhilesh R. Jaiswal, Peter A. Beerel
2022 Frontiers in Neuroscience  
This significantly reduces the training and inference latency and results in high degree of activation sparsity, which yields significant improvements in computational efficiency.  ...  In particular, our models implemented using standard digital hardware achieved accuracies similar to state-of-the-art (SOTA) with ~560.6× and ~44.8× less average energy than an iso-architecture full-precision  ...  To improve energy efficiency, model compression techniques, such as pruning (Han et al., 2015a) , can be adapted to CNN/SNN models for HSI classification.  ... 
doi:10.3389/fnins.2022.815258 pmid:35464314 pmcid:PMC9025538 fatcat:ajxlkaylgjauvnurspehpciqca

Adaptive Extreme Edge Computing for Wearable Devices

Erika Covi, Elisa Donati, Xiangpeng Liang, David Kappel, Hadi Heidari, Melika Payvand, Wei Wang
2021 Frontiers in Neuroscience  
The visioning and forecasting of how to bring computation to the edge in smart sensors have already begun, with an aspiration to provide adaptive extreme edge computing.  ...  Wearable devices are a fast-growing technology with impact on personal healthcare for both society and economy.  ...  Thomas Mikolajick and Dr. Stefan Slesazeck for useful discussion on ferroelectric and memristive devices.  ... 
doi:10.3389/fnins.2021.611300 pmid:34045939 pmcid:PMC8144334 fatcat:5by77im5crcslgt7zj3wulzd5e

2021 Index IEEE Transactions on Emerging Topics in Computing Vol. 9

2022 IEEE Transactions on Emerging Topics in Computing  
The primary entry includes the coauthors' names, the title of the paper or other item, and its location, specified by the pubLication abbreviation, year, month, and inclusive pagination.  ...  The Subject Index contains entries describing the item under all appropriate subject headings, plus the first author's name, the pubLication abbreviation, month, and year, and inclusive pages.  ...  -Dec.2021ndYu, W., Surrogate-Assisted Evolutionary Framework with Adaptive Knowledge Transfer for Multi-Task Optimization; TETCOct.  ... 
doi:10.1109/tetc.2021.3134500 fatcat:ivlkn2ooebemzajhdqqy27tvsm

Neuromorphic Neuromodulation: Towards the next generation of on-device AI-revolution in electroceuticals [article]

Luis Fernando Herbozo Contreras, Nhan Duy Truong, Jason K. Eshraghian, Zhangyu Xu, Zhaojing Huang, Armin Nikpour, Omid Kavehei
2023 arXiv   pre-print
With more than three orders of magnitude reduction in the total data required for data processing and feature extraction, the high power- and memory-efficiency of neuromorphic computing to hardware-firmware  ...  We believe neuromorphic architectures hold an outstanding potential to open new avenues for sophisticated on-chip analysis of neural signals and AI-driven personalized treatments.  ...  This method addresses the limitations associated with networks of complex spiking neurons and enables online learning of exceptionally long sequences [138] .  ... 
arXiv:2307.12471v2 fatcat:oqyupvk5vjgjdd4z4rr7ggqf7q

Decomposition into low-rank plus additive matrices for background/foreground separation: A review for a comparative evaluation with a large-scale dataset

Thierry Bouwmans, Andrews Sobral, Sajid Javed, Soon Ki Jung, El-Hadi Zahzah
2017 Computer Science Review  
Then, we examine carefully each method in each robust subspace learning/tracking frameworks with their decomposition, their loss functions, their optimization problem and their solvers.  ...  Finally, experimental results on a large-scale dataset called Background Models Challenge (BMC 2012) show the comparative performance of 32 different robust subspace learning/tracking methods.  ...  Acknowledgment The authors would like to thank the following researchers: Zhouchen Lin (Visual Computing Group, Microsoft Research Asia) who has kindly provided the solver LADMAP [192] and the l 1 -filtering  ... 
doi:10.1016/j.cosrev.2016.11.001 fatcat:vdh7ic4n6zfkjlccnyiq74z5wu

The BrainScaleS-2 accelerated neuromorphic system with hybrid plasticity [article]

Christian Pehle, Sebastian Billaudelle, Benjamin Cramer, Jakob Kaiser, Korbinian Schreiber, Yannik Stradmann, Johannes Weis, Aron Leibfried, Eric Müller, Johannes Schemmel
2022 arXiv   pre-print
While implementation details differ, spiking neural networks - sometimes referred to as the third generation of neural networks - are the common abstraction used to model computation with such systems.  ...  It combines a custom analog accelerator core supporting the accelerated physical emulation of bio-inspired spiking neural network primitives with a tightly coupled digital processor and a digital event-routing  ...  Special thanks to Syed Aamir, Simon Friedmann, Andreas Hartel, Vitali Karasenko, Gerd Kiene, Matthias Hock and We thank S. Höppner and S.  ... 
arXiv:2201.11063v2 fatcat:5zniosxozzapjan3afpg6ywggi

Intelligent Computing: The Latest Advances, Challenges and Future [article]

Shiqiang Zhu, Ting Yu, Tao Xu, Hongyang Chen, Schahram Dustdar, Sylvain Gigan, Deniz Gunduz, Ekram Hossain, Yaochu Jin, Feng Lin, Bo Liu, Zhiguo Wan (+9 others)
2022 arXiv   pre-print
intelligence and internet-of-things with new computing theories, architectures, methods, systems, and applications.  ...  Intelligent computing is still in its infancy and an abundance of innovations in the theories, systems, and applications of intelligent computing are expected to occur soon.  ...  Thus, the new computing paradigm enables the analysis and modeling of unstructured scenes and the adaptive processing of unstructured data.  ... 
arXiv:2211.11281v1 fatcat:e2dtzov2v5bthboxdtdb4i6tiy

Photonic-Electronic Integrated Circuits for High-Performance Computing and AI Accelerator [article]

Shupeng Ning, Hanqing Zhu, Chenghao Feng, Jiaqi Gu, Zhixing Jiang, Zhoufeng Ying, Jason Midkiff, Sourabh Jain, May H. Hlaing, David Z. Pan, Ray T. Chen
2024 arXiv   pre-print
Additionally, we propose a comprehensive analysis of photonic AI from the perspectives of hardware implementation, accelerator architecture, and software-hardware co-design.  ...  In recent decades, the demand for computational power has surged, particularly with the rapid expansion of artificial intelligence (AI).  ...  For example, Lightmatter introduces an architecture, ADEPT [149] as shown in Fig. 11 .d, with two separate activation SRAM and weight SRAM, as activation and weights show different access frequencies  ... 
arXiv:2403.14806v1 fatcat:vvso2zaozffvxodm32jts2aeoq

Accelerating Attention through Gradient-Based Learned Runtime Pruning [article]

Zheng Li and Soroush Ghodrati and Amir Yazdanbakhsh and Hadi Esmaeilzadeh and Mingu Kang
2022 arXiv   pre-print
This formulation piggy backs on the back-propagation training to analytically co-optimize the threshold and the weights simultaneously, striking a formally optimal balance between accuracy and computation  ...  Post-layout results show that, on average, LeOPArd yields 1.9x and 3.9x speedup and energy reduction, respectively, while keeping the average accuracy virtually intact (<0.2% degradation)  ...  We also would like to extend our gratitude towards Cliff Young, Suvinay Subramanian, Yanqi Zhou, James Laudon, and Stella Aslibekyan for their invaluable feedback and comments.  ... 
arXiv:2204.03227v3 fatcat:dhnh55utjnc73f7i4nw6cobyem
« Previous Showing results 1 — 15 out of 76 results