Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
Skip to main content

Design Automation of Series Resonance Clocking in 14-nm FinFETs

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

Power-performance constraints have been the key driving force that motivated the microprocessor industry to bring unique design techniques in the past two decades. The rising demand for high-performance microprocessors increases the circuit complexity and data transfer rate, resulting in higher power consumption. This work proposes a set of energy recycling resonant pulsed flip-flops to reuse some of the dissipated energy using series inductor–capacitor (LC) resonance. Moreover, this work also presents wideband clocking architectures that use series LC resonance and an inductor tuning technique. By employing pulsed resonance, the switching power dissipated is recycled back. The inductor tuning technique aids in reducing the skew, increasing the robustness of the clock networks. This new resonant clocking architecture saves over 43% power and 90% reduced skew in clock tree networks and saves 44% power and 90% reduced skew in clock mesh networks, clocking a range of 1–5 GHz frequency, compared to conventional primary–secondary flip-flop-based clock networks. Implementation of resonant clock architectures on standard clock network benchmarks depicts 66% power savings and 6.5\(\times \) reduced skew while using the proposed pulsed resonant flip-flop and saves 64% power and 12.7\(\times \) reduced skew while using the proposed resonant true single-phase clock (TSPC) flip-flop.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18

Similar content being viewed by others

Availability of Data and Materials

Data can be provided by the corresponding author upon reasonable request.

References

  1. I. Bezzam, S. Krishnan, C. Mathiazhagan, T. Raja, F. Maloberti, Wide operating frequency resonant clock and data circuits for switching power reductions. Analog. Integr. Circ. Sig. Process 82, 113–124 (2015). https://doi.org/10.1007/s10470-014-0447-1

    Article  Google Scholar 

  2. I. Bezzam, C. Mathiazhagan, T. Raja, S. Krishnan, An energy-recovering reconfigurable series resonant clocking scheme for wide frequency operation. Trans. Circ. Syst. I. 62(7), 1766–1775 (2015). https://doi.org/10.1109/TCSI.2015.2423797

    Article  Google Scholar 

  3. I. Bezzam, Reduced-power electronic circuits with wide-band energy recovery using non-interfering topologies. (2019). https://patents.google.com/patent/US10340895B2

  4. I. Bezzam, Rawat Neelam, Digital circuits for radically reduced power and improved timing performance on advanced semiconductor manufacturing processes. (2021). https://patents.google.com/patent/US11073861B2

  5. F. Brglez, D. Bryan, K. Kozminski, Combinational profiles of sequential benchmark circuits, in International Symposium on Circuits and Systems (ISCAS), (1989), pp. 1929–1934 https://doi.org/10.1109/ISCAS.1989.100747

  6. Y. Cai, A. Savanth, P. Prabhat, J. Myers, A. Weddell, T. Kazmierski, Ultra-low power 18-transistor fully static contention-free single-phase clocked flip-flop in 65-nm CMOS. J. Solid-State Circ. 54(2), 550–559 (2019). https://doi.org/10.1109/JSSC.2018.2875089

    Article  Google Scholar 

  7. D. Challagundla, M. Galib, I. Bezzam, R. Islam, Power and skew reduction using resonant energy recycling in 14-nm FinFET clocks, in 2022 IEEE International Symposium on Circuits and Systems (ISCAS), (2022), pp. 268–272 https://doi.org/10.1109/ISCAS48785.2022.9937771

  8. L. Cherif, M. Chentouf, J. Benallal, M. Darmi, R. Elgouri, N. Hmina, Usage and impact of multi-bit flip-flops low power methodology on physical implementation, in 2018 4th International Conference on Optimization and Applications (ICOA), (2018), pp. 1–5 https://doi.org/10.1109/ICOA.2018.8370498

  9. L.T. Clark, V. Vashishtha, L. Shifren, A. Gujja, S. Sinha, B. Cline, C. Ramamurthy, G. Yeric, ASAP7: a 7-nm finFET predictive process design kit. Microelectron. J. 53(7), 105–115 (2016). https://doi.org/10.1016/j.mejo.2016.04.006

    Article  Google Scholar 

  10. D. Edwards, H. Nguyen, Semiconductor and IC Package Thermal Metrics (rev. C), Texas Instruments. https://www.ti.com/lit/an/spra953c/spra953c.pdf

  11. W.M. Elsharkasy, A. Khajeh, A.M. Eltawil, F.J. Kurdahi, Reliability enhancement of low-power sequential circuits using reconfigurable pulsed latches. Trans. Circ. Syst. I. 64(7), 1803–1814 (2017). https://doi.org/10.1109/TCSI.2017.2680433

    Article  Google Scholar 

  12. S.E. Esmaeili, R. Islam, A.J Al-Khalili, G.E.R. Cowan, Dual-edge triggered sense amplifier flip-flop utilizing an improved scheme to reduce area, power, and complexity, in 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), (2012), pp. 292–295 https://doi.org/10.1109/ICECS.2012.6463565

  13. H.A Fahmy, P-Y. Lin, R. Islam, M.R. Guthaus, Switched capacitor quasi-adiabatic clocks, in 2015 IEEE International Symposium on Circuits and Systems (ISCAS), (2015), pp. 1398–1401 https://doi.org/10.1109/ISCAS.2015.7168904

  14. T. Fischer, S. Arekapudi, E. Busta, C. Dietz, M. Golden, S. Hilker, A. Horiuchi, K.A. Hurd, D. Johnson, H. McIntyre, S. Naffziger, J. Vinh, J. White, K. Wilcox, Design solutions for the Bulldozer 32nm SOI 2-core processor module in an 8-core CPU. In International Solid-State Circuits Conference, (2011), pp. 78–80 https://doi.org/10.1109/ISSCC.2011.5746227

  15. H. Fuketa, M. Nomura, M. Takamiya, T. Sakurai, Intermittent resonant clocking enabling power reduction at any clock frequency for near/sub-threshold logic circuits. J. Solid-State Circ. 49(2), 536–544 (2014). https://doi.org/10.1109/JSSC.2013.2294172

    Article  Google Scholar 

  16. J.L. Hennessy, D.A. Patterson, A New Golden Age for Computer Architecture. (2019), pp. 48–60 https://doi.org/10.1145/3282307

  17. X. Hu, M.R. Guthaus, Distributed LC resonant clock grid synthesis. Trans. Circ. Syst. I. 59(11), 2749–2760 (2012). https://doi.org/10.1109/TCSI.2012.2190671

    Article  MathSciNet  MATH  Google Scholar 

  18. X. Hu, W. Condley, M.R. Guthaus, Library-Aware Resonant Clock Synthesis (LARCS), in Proceedings of the 49th Annual Design Automation Conference, (2012), pp. 145–150 https://doi.org/10.1145/2228360.2228389

  19. R. Islam, B. Saha, I. Bezzam, Resonant energy recycling SRAM architecture. Trans. Circ. Syst. II. 68(4), 1383–1387 (2021). https://doi.org/10.1109/TCSII.2020.3029203

    Article  Google Scholar 

  20. R. Islam, M.R. Guthaus, CMCS: current-mode clock synthesis. Trans. Very Large Scale Integr. (VLSI) Syst. 25(3), 1054–1062 (2017). https://doi.org/10.1109/TVLSI.2016.2605580

    Article  Google Scholar 

  21. R. Islam, M.R. Guthaus, HCDN: hybrid-mode clock distribution networks. Trans. Circ. Syst. I. 66(1), 251–262 (2019). https://doi.org/10.1109/TCSI.2018.2866224

    Article  Google Scholar 

  22. R. Islam, Low-power resonant clocking using soft error robust energy recovery flip-flops. J. Electron. Test. 34, 471–485 (2018). https://doi.org/10.1007/s10836-018-5737-6

    Article  Google Scholar 

  23. R. Islam, H.A. Fahmy, P.Y. Lin, M.R. Guthaus, DCMCS: highly robust low-power differential current-mode clocking and synthesis. Trans. Very Large Scale Integr. VLSI Syst. 26(10), 2108–2117 (2018). https://doi.org/10.1109/TVLSI.2018.2837681

    Article  Google Scholar 

  24. R. Islam, High-Speed Energy-Efficient Soft Error Tolerant Flip-flops (2011). https://spectrum.library.concordia.ca/id/eprint/15130/

  25. R. Islam, H.A. Fahmy, P.Y. Lin, M.R. Guthaus, Differential current-mode clock distribution, in 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), (2015), pp. 1–4 https://doi.org/10.1109/MWSCAS.2015.7282042

  26. ISPD-2009, Proceedings of the 2009 International Symposium on Physical Design, (2009). https://www.ispd.cc/contests/09/ispd09cts.html

  27. S.M Jahinuzzaman, R. Islam, TSPC-DICE: A single phase clock high performance SEU hardened flip-flop, in 2010 53rd IEEE International Midwest Symposium on Circuits and Systems, (2010), pp. 73–76 https://doi.org/10.1109/MWSCAS.2010.5548564

  28. H. Jeong, T.W. Oh, S.C. Song, S.O. Jung, Sense-amplifier-based flip-flop with transition completion detection for low-voltage operation. Trans. Very Large Scale Integr. (VLSI) Syst. 26(4), 609–620 (2018). https://doi.org/10.1109/TVLSI.2017.2777788

    Article  Google Scholar 

  29. A.A. Khan, A. Ali, M. Zakarya, R. Khan, M. Khan, I.U. Rahman, M.A.A. Rahman, A migration aware scheduling technique for real-time aperiodic tasks over multiprocessor systems. IEEE Access. 7, 27859–27873 (2019). https://doi.org/10.1109/ACCESS.2019.2901411

    Article  Google Scholar 

  30. N. Kumar, D.P. Vidyarthi, A novel energy-efficient scheduling model for multi-core systems. Clust. Comput. 24, 643–666 (2021). https://doi.org/10.1007/s10586-020-03143-w

    Article  Google Scholar 

  31. S. Lerner, B. Taskin, Slew merging region propagation for bounded slew and skew clock tree synthesis. Trans. Very Large Scale Integr. (VLSI) Syst. 27(1), 1–10 (2019). https://doi.org/10.1109/TVLSI.2018.2874572

    Article  Google Scholar 

  32. J. Li, L. Xiao, L. Li, H. Li, H. Liu, C. Wang, A low-cost error-tolerant flip-flop against SET and SEU for dependable designs. Trans. Circ. Syst. I. 69(7), 2721–2729 (2022). https://doi.org/10.1109/TCSI.2022.3168082

    Article  Google Scholar 

  33. Linear Technologies, Package Thermal Resistance Table, https://www.cloudynights.com/ubbthreads/attachments/6565034-_Linear_Technology_Thermal_Resistance_Table.pdf

  34. V. Melikyan, M. Martirosyan, A. Melikyan, G. Piliposyan, 14 nm educational design kit: capabilities deployment and future, in Small Systems Simulation Symposium, (2018)

  35. A.K. Mishra, D. Vaithiyanathan, U. Chopra, Design and analysis of ultra-low power 18T adaptive data track flip-flop for high-speed application. Int. J. Circuit Theory Appl. 49(11), 3733–3747 (2021). https://doi.org/10.1002/cta.3124

    Article  Google Scholar 

  36. K.J. Nowka, G.D. Carpenter, E.W. MacDonald, H.C. Ngo, B.C. Brock, K.I. Ishii, T.Y. Nguyen, J.L. Burns, A 32-bit PowerPC system-on-a-chip with support for dynamic voltage scaling and dynamic frequency scaling. J. Solid-State Circuits 37(11), 1441–1447 (2002). https://doi.org/10.1109/JSSC.2002.803941

    Article  Google Scholar 

  37. J.M. Rabaey, Low Power Design Essentials (2009). https://doi.org/10.1007/978-0-387-71713-5

  38. J.M. Rabaey, Digital integrated circuits: a design perspective, Chapter 7, in Designing Sequential Logic Circuits (2002), pp. 296–339

  39. J.M. Rabaey, Digital integrated circuits: a design perspective, Chapter 10, in Timing Issues in Digital Circuits (2002), pp. 449–506

  40. F.U. Rahman, V. Sathe, Quasi-resonant clocking: continuous voltage-frequency scalable resonant clocking system for dynamic voltage-frequency scaling systems. J.Solid-State Circuits. 53(3), 924–935 (2018). https://doi.org/10.1109/JSSC.2017.2780219

    Article  Google Scholar 

  41. N. Sabu, K. Batri, Review of low power design techniques for flip-flops. J. Pure Appl. Math. 120(6), 1729–1749 (2018)

    Google Scholar 

  42. V. Sathe, Quasi-resonant clocking: a run-time control approach for true voltage-frequency-scalability, in 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), (2014), pp. 87–92 https://doi.org/10.1145/2627369.2627627

  43. G. Shin, E. Lee, J. Lee, Y. Lee, Y. Lee, A static contention-free differential flip-flop in 28nm for low-voltage, low-power applications, in 2020 IEEE Custom Integrated Circuits Conference (CICC), (2020), pp. 1–4 https://doi.org/10.1109/CICC48029.2020.9075922

  44. B. Song, S. Choi, S.H. Kang, S.O. Jung, Offset-cancellation sensing-circuit-based nonvolatile flip-flop operating in near-threshold voltage region. Trans. Circuits Syst. I. 66(8), 2963–2972 (2019). https://doi.org/10.1109/TCSI.2019.2913009

    Article  Google Scholar 

  45. F. Stas, D. Bol, A 0.4-V 0.66-fJ/cycle retentive true-single-phase-clock 18T flip-flop in 28-nm fully-depleted SOI CMOS. Trans. Circuits Syst. I. 65(3), 935–945 (2018). https://doi.org/10.1109/TCSI.2017.2763423

    Article  Google Scholar 

  46. C.N. Sze, ISPD 2010 High performance Clock Network Synthesis Contest (2010), p. 143 https://doi.org/10.1145/1735023.1735058

  47. V. Tirumalashetty, H. Mahmoodi, Clock gating and negative edge triggering for energy recovery clock, in IEEE International Symposium on Circuits and Systems (ISCAS), (2007), pp. 1141–1144. https://doi.org/10.1109/ISCAS.2007.378251

  48. L. Touil, A. Hamdi, I. Gassoumi, A. Mtibaa, P. Agathoklis, Design of low-power structural fir filter using data-driven clock gating and multibit flip-flops. J. Electr. Comput. Eng. (2020). https://doi.org/10.1155/2020/8108591

    Article  Google Scholar 

  49. M.Y. Tsai, P.Y. Kuo, J.F. Lin, M.H. Sheu, An ultra-low-power true single-phase clocking flip-flop with improved hold time variation using logic structure reduction scheme, in 2018 IEEE International Symposium on Circuits and Systems (ISCAS) (2018), pp. 1–4 https://doi.org/10.1109/ISCAS.2018.8350985

  50. D. Vaithiyanathan, A.K. Mishra, T. Bhardwaj, V.J Verma, B. Kaur, Power consumption and delay comparison of a modified TCFF with existing FF implemented using FinFET and load test circuit analysis, in 2021 IEEE Madras Section Conference (MASCON), (2021), pp. 1–5 https://doi.org/10.1109/MASCON51689.2021.9563560

  51. H. You, J. Yuan, Z. Yu, S. Qiao, Low-power retentive true single-phase-clocked flip-flop with redundant-precharge-free operation. Trans. Very Large Scale Integr. (VLSI) Syst. 29(5), 1022–1032 (2021). https://doi.org/10.1109/TVLSI.2021.3061921

    Article  Google Scholar 

  52. Q. Yu, J. Gao, J. Wei, J. Li, K.C. Tan, T. Huang, Improving multispike learning with plastic synaptic delays. Trans. Neural Netw. Learn. Syst. (2022). https://doi.org/10.1109/TNNLS.2022.3165527

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported in part by Rezonent Inc. under Grant CORP-0061, National Science Foundation (NSF) award number: 2138253, and UMBC Startup grant. The authors also acknowledge M. Galib from UMBC for providing layouts data used in the analysis.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Riadul Islam.

Ethics declarations

Conflict of interest

The authors have no competing interests to declare.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Challagundla, D., Bezzam, I. & Islam, R. Design Automation of Series Resonance Clocking in 14-nm FinFETs. Circuits Syst Signal Process 42, 7549–7579 (2023). https://doi.org/10.1007/s00034-023-02458-4

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-023-02458-4

Keywords