Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
10.5555/2665671.2665731acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

A low power and reliable charge pump design for phase change memories

Published:14 June 2014Publication History

ABSTRACT

The emerging Phase Change Memory (PCM) technology exhibits excellent scalability and density potentials. At the same time, they require high current and high voltages to switch cell states. Their working voltages are provided by CMOS-compatible on-chip charge pumps (CPs). Unfortunately, CPs and particularly those for RESET, have a large parasitic power (a dominant component in total power loss) during operations, which significantly degrades their energy efficiency. In addition, CPs seriously suffer from the Time-Dependent Dielectric Breakdown (TDDB) problem due to their boosted operation voltage. To maintain a reasonable lifetime of CPs, existing solutions actively switch them on per-operation basis, resulting in large performance degradation

In this paper, we address the above issues through two designs --- Reset_Sch (RESET scheduling) and CP_Sch (CP scheduling). Reset_Sch schedules when to perform a RESET for different cells upon writing a PCM line. It significantly reduces the power loss, and peak working power of RESET CP. CP_Sch incorporates a fast READ CP design to provide fast charge-up time for reads and minimize performance penalty. Our experimental results show that on average, 70% of power loss for RESET CP can be reduced; and performance loss can be reduced from 16% to 2% while achieving a 16% improvement in reliability

References

  1. F. Bedeschi et al., "A bipolar-selected phase change memory featuring multi-level cell storage," JSSC, 2009.Google ScholarGoogle Scholar
  2. M. Boniardi et al., "Impact of material composition on the write performance of phase-change memory device," in IMW, 2010.Google ScholarGoogle Scholar
  3. T. Carlson et al., "Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation," in SC, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. F. Chen et al., "Correlation between i-v slope and tddb voltage acceleration for cu/low-k interconnects," in IITC, 2009.Google ScholarGoogle Scholar
  5. S. Cho et al., "Flip-n-write: A simple deterministic technique to improve pram write performance, energy, and endurance," in MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Y. Choi et al., "A 20nm 1.8v 8gb pram with 40mb/s program bandwidth," in ISSCC, 2012.Google ScholarGoogle Scholar
  7. H. Chung et al., "A 58nm 1.8v 1gb pram with 6.4mb/s program bw," in ISSCC, 2011.Google ScholarGoogle Scholar
  8. X. Dong et al., "Nvsim: A circuit-level performance, energy, and area model for emerging non-volatile memory," TCAD, 2012.Google ScholarGoogle Scholar
  9. K. Fang et al., "Memory architecture for integrating emerging memory technologies," in PACT, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. R. Guo, "High efficiency charge pump based dc-dc converter for wide input/output range applications," Ph.D. dissertation, Electrical Engineering, North Carolina State University, 2010.Google ScholarGoogle Scholar
  11. A. Hay et al., "Preventing pcm banks from seizing too much power," in MICRO, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Y. Hwang et al., "Mlc pram with slc write-speed and robust read scheme," in VLSIT, 2010.Google ScholarGoogle Scholar
  13. C. Ih-Chin et al., "Electrical breakdown in thin gate and tunneling oxides," JSSC, vol. 20, no. 1, 1985.Google ScholarGoogle Scholar
  14. Intel, "Intel xeon processor e3--1200 family datasheet," in Data sheet, 2011.Google ScholarGoogle Scholar
  15. L. Jiang et al., "Fpb: Fine-grained power budgeting to improve write throughput of multi-level cell phase change memory," in MICRO, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. L. Jiang et al., "Improving write operations in mlc phase change memory," in HPCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. S. Kang et al., "A 0.1-um 1.8-v 256-mb phase-change random access memory (pram) with 66-mhz synchronous burst-read operation," JSSC, 2007.Google ScholarGoogle Scholar
  18. M.-D. Ker et al., "Design of charge pump circuit with consideration of gate-oxide reliability in low-voltage cmos processes," JSSC, vol. 41, no. 5, 2006.Google ScholarGoogle Scholar
  19. M.-D. Ker et al., "A new charge pump circuit dealing with gate-oxide reliability issue in low-voltage processes," in ISCAS, 2004.Google ScholarGoogle Scholar
  20. B. C. Lee et al., "Architecting phase change memory as a scalable dram alternative," in ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. K.-J. Lee et al., "A 90nm 1.8v 512mb diode-switch pram with 266mb/s read throughput," in JSSC, 2008.Google ScholarGoogle Scholar
  22. K. T. Malladi et al., "Towards energy-proportional datacenter memory with mobile dram," in ISCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. K. T. Malladi et al., "Rethinking dram power modes for energy proportionality," in MICRO, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. D. Mantegazza et al., "Statistical analysis and modeling of programming and retention in pcm arrays," in IEDM, 2007.Google ScholarGoogle Scholar
  25. T. Nirschl et al., "Write strategies for 2 and 4-bit multi-level phasechange memory," in IEDM, 2007.Google ScholarGoogle Scholar
  26. G. Palumbo et al., "Charge pump circuits: An overview on design strategies and topologies," IEEE Circuits and Devices Magazine, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. A. Pantazi et al., "Multilevel phase-change memory modeling and experimental characterization," in EPCOS, 2009.Google ScholarGoogle Scholar
  28. H. Patil et al., "Pinplay: a framework for deterministic replay and reproducible analysis of parallel programs," in CGO, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. M. K. Qureshi et al., "Preset: Improving read write performance of phase change memories by exploiting asymmetry in write times," in ISCA, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. M. K. Qureshi et al., "Improving read performance of phase change memories via write cancellation and write pausing," in HPCA, 2010.Google ScholarGoogle Scholar
  31. M. K. Qureshi et al., "A case for mlp-aware cache replacement," in ISCA, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. M. K. Qureshi et al., "Scalable high performance main memory system using phase-change memory technology," in ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. S. Raoux et al., "Phase-change random access memory: A scalable technology," IBM J. RES. & DEV., 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. J. Wang et al., "Energy-efficient multi-level cell phase-change memory system with data encoding," in ICCD, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. O. Y. Wong et al., "A comparative study of charge pumping circuits for flash memory applications," Microelectronics Reliability, no. 4, pp. 670--687, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  36. D. Wu et al., "Ramzzz: Rank-aware dram power management with dynamic migrations and demotions," in SC, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. W. Zhang et al., "Characterizing and mitigating the impact of process variations on phase change based memory systems," in MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. P. Zhou et al., "A durable and energy efficient main memory using phase change memory technology," in ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader