Location via proxy:   [ UP ]  
[Report a bug]   [Manage cookies]                
skip to main content
research-article

Tolerating process variations in nanophotonic on-chip networks

Published:09 June 2012Publication History
Skip Abstract Section

Abstract

Nanophontonic networks, a potential candidate for future networks on-chip, have been challenged for their reliability due to several device-level limitations. One of the main issues is that fabrication errors (a.k.a. process variations) can cause devices to malfunction, rendering communication unreliable. For example, microring resonator, a preferred optical modulator device, may not resonate at the designated wavelength under process variations (PV), leading to communication errors and bandwidth loss.

This paper proposes a series of solutions to the wavelength drifting problem of microrings and subsequent bandwidth loss problem of an optical network, due to PV. The objective is to maximize network bandwidth through proper arrangement among microrings and wavelengths with minimum power requirement. Our arrangement, called "MinTrim", solves this problem using simple integer linear programming, adding supplementary microrings and allowing flexible assignment of wavelengths to network nodes as long as the resulting network presents maximal bandwidth. Each step is shown to improve bandwidth provisioning with lower power requirement. Evaluations on a sample network show that a baseline network could lose more than 40% bandwidth due to PV. Such loss can be recovered by MinTrim to produce a network with 98.4% working bandwidth. In addition, the power required in arranging microrings is 39% lower than the baseline. Therefore, MinTrim provides an efficient PV-tolerant solution to improving the reliability of on-chip phontonics.

References

  1. J. Ahn et al. Devices and architectures for photonic chip-scale integration. Appl. Phy. A, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  2. C. Batten. Designing nanophotonic interconnection networks. In Workshop on the Interaction between Nanopho-tonic Devices and Systems, 2010.Google ScholarGoogle Scholar
  3. C. Batten et al. Building manycore processor-to-dram networks with monolithic silicon photonics. In Hot Interconnects, pages 21--30, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Beamer et al. Re-architecting dram memory systems with monolithically integrated silicon photonics. In ISCA, pages 129--140, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. Berkelaar et al. Lp solve: Open source (mixed-integer) linear programming system (2007). http://lpsolve.sourceforge.net/5.5/.Google ScholarGoogle Scholar
  6. Y. Cao and L. T. Clark. Mapping statistical process variations toward circuit performance variability: An analytical modeling approach. In DAC, pages 658--663, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Cianchetti et al. Phastlane: a rapid transit optical routing network. In ISCA, pages 441--450, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Dokania et al. Analysis of challenges for on-chip optical interconnects. In GLSVLSI, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Fourer et al. AMPL: A Modeling Language for Mathematical Programming, 2nd ed. Duxbury Press Publishing Company, 2002.Google ScholarGoogle Scholar
  10. M. Georgas et al. Addressing link-level design tradeoffs for integrated photonic interconnects. In Custom Integrated Circuits Conference, pages 1--8, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  11. H. Haeiwa et al. Wide range center wavelength trimming of vertically coupled microring resonator filter by direct uv irradiation to sin ring core. IEEE Photonics Technology Letters, 16:135--137, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  12. A. Joshi et al. Silicon-photonic clos networks for global on-chip communication. In NOCS, pages 124--133, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Karttunen et al. Loading effects in deep silicon etching. In International Society of Optical Engineering, volume 4174, pages 90--97, 2000.Google ScholarGoogle Scholar
  14. N. Kirman et al. Leveraging optical technology in future bus-based chip multiprocessors. In MICRO, pages 492--503, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. N. Kirman and J. F. Martínez. A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing. In ASPLOS, pages 15--28, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. N. Kobayashi et al. Uv trimming of polarization-independent microring resonator by internal stress and temperature control. Optics Express, 18:906--916, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  17. B. R. Kock et al. Mode-locked silicon evanescent lasers. Optics Express, 15(18), 2007.Google ScholarGoogle ScholarCross RefCross Ref
  18. P. Koka et al. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems. SIGARCH Comput. Archit. News, 38, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. G. Kurian et al. Atac: a 1000--core cache-coherent processor with on-chip optical network. In PACT, pages 477--488, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Z. Li et al. Reliability modeling and management of nanophotonic on-chip networks. IEEE TVLSIS, 20:98--111, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. A. Liu et al. A high-speed silicon optical modulator based on a metal-oxide-semiconductor capacitor. Nature, 427:615--618, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  22. S. R. Nassif. Modeling and forecasting of manufacturing variations. In ASPDAC, pages 145--149, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Y. Nasu et al. Birefringence suppression of uv-induced refractive index with grooves in silica-based planar lightwave circuits. Electronics Letters, 41:1118--1119, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  24. C. Nitta et al. Addressing system-level trimming issues in on-chip nanophotonic networks. In HPCA, pages 122--131, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. C. Nitta et al. Resilient microring resonator based photonic networks. In MICRO, pages 95--104, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. J. Orcutt et al. Nanophotonic integration in state-of-the-art cmos foundries. Optics Express, 19:2335--2346, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  27. Y. Pan et al. Firefly: Illuminating future network-on-chip with nanophotonics. In ISCA, pages 429--440, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Y. Pan et al. Flexishare: Channel sharing for an energy-efficient nanophotonic crossbar. In HPCA, pages 1--12, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  29. S. Postnikov et al. Critical dimension control in optical lithography. Microelectronic Engineering, 69(2--4):452--458, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. C. Qiu et al. Wavelength tracking with thermally controlled silicon resonators. Optics Express, 19:5143--5148, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  31. G. T. Reed et al. Silicon optical modulators. Nature Photonics, 4:518 -- 526, 2010.Google ScholarGoogle Scholar
  32. S. Sarangi et al. Varius: A model of process variation and resulting timing errors for microarchitects. IEEE Transactions on Semiconductor Manufacturing, 21(1):3 --13, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  33. J. Schrauwen et al. Trimming of silicon ring resonator by electron beam induced compaction and strain. Optics Express, 16:3738--3743, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  34. S. K. Selvaraja. Wafer-Scale Fabrication Technology for Silicon Photonic Integrated Circuits. PhD thesis, Ghent University, Feb. 2011.Google ScholarGoogle Scholar
  35. N. Sherwood-Droz et al. Device guidelines for wdm interconnects using silicon microring resonators. In Workshop on the Interaction between Nanophotonic Devices and Systems, 2010.Google ScholarGoogle Scholar
  36. S. Ueno et al. High uv sensitivity of sion film and its application to center wavelength trimming of microring resonator filter. IEICE Transactions on Electron, E88--C(5):998--1004, 2005.Google ScholarGoogle Scholar
  37. D. Vantrease et al. Corona: System implications of emerging nanophotonic technology. In ISCA, pages 153--164, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. D. Vantrease et al. Light speed arbitration and flow control for nanophotonic interconnects. In MICRO, pages 304--315, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. D. Vantrease et al. Atomic coherence: Leveraging nanopho-tonics to build race-free cache coherence protocols. In HPCA, pages 132--143, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. K. Williams and P. Watts. Optical interconnects for nocs and off-chip communications. Tutorial, NOCS, 2011.Google ScholarGoogle Scholar
  41. D. Xu. Polarization control in silicon photonic. Topics in Applied Physics, pages 31--70, 2011.Google ScholarGoogle Scholar
  42. Q. Xu et al. Micrometer-scale silicon electro-optic modulator. Nature, pages 325--327, 2005.Google ScholarGoogle Scholar
  43. Q. Xu et al. 12.5 gbit/s carrier-injection-based silicon microring silicon modulators. Optics Express, pages 430--436, 2007.Google ScholarGoogle Scholar
  44. Q. Xu et al. Silicon microring resonators with 1.5-μm radius. Optics Express, pages 4309--4315, 2008.Google ScholarGoogle Scholar
  45. Y. Xu et al. A composite and scalable cache coherence protocol for large scale cmps. In ICS, pages 285--294, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. S. Yoo. Cmos-compatible silicon photonic integrated systems in future computing and communication systems. In OptoeElectronics and Communications Conference, pages 510--511, 2010.Google ScholarGoogle Scholar

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in

Full Access

  • Published in

    cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 40, Issue 3
    ISCA '12
    June 2012
    559 pages
    ISSN:0163-5964
    DOI:10.1145/2366231
    Issue’s Table of Contents
    • cover image ACM Conferences
      ISCA '12: Proceedings of the 39th Annual International Symposium on Computer Architecture
      June 2012
      584 pages
      ISBN:9781450316422

    Copyright © 2012 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 9 June 2012

    Check for updates

    Qualifiers

    • research-article

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader